Boot log: tegra124-nyan-big

    1 15:18:14.801361  lava-dispatcher, installed at version: 2022.06
    2 15:18:14.801762  start: 0 validate
    3 15:18:14.802118  Start time: 2022-08-11 15:18:14.802096+00:00 (UTC)
    4 15:18:14.802427  Using caching service: 'http://localhost/cache/?uri=%s'
    5 15:18:14.802772  Validating that http://localhost/cache/?uri=http%3A%2F%2Fstorage.kernelci.org%2Fimages%2Frootfs%2Fbuildroot%2Fbuildroot-baseline%2F20220805.0%2Farmel%2Frootfs.cpio.gz exists
    6 15:18:15.105679  Using caching service: 'http://localhost/cache/?uri=%s'
    7 15:18:15.106297  Validating that http://localhost/cache/?uri=http%3A%2F%2Fstorage.kernelci.org%2Fcip-gitlab%2Fci-iwamatsu-linux-5.10.y-cip-rc%2Fv5.10.131-cip13-493-ge129308279043%2Farm%2Fmulti_v7_defconfig%2Fgcc-10%2Fkernel%2FzImage exists
    8 15:18:15.404001  Using caching service: 'http://localhost/cache/?uri=%s'
    9 15:18:15.404616  Validating that http://localhost/cache/?uri=http%3A%2F%2Fstorage.kernelci.org%2Fcip-gitlab%2Fci-iwamatsu-linux-5.10.y-cip-rc%2Fv5.10.131-cip13-493-ge129308279043%2Farm%2Fmulti_v7_defconfig%2Fgcc-10%2Fdtbs%2Ftegra124-nyan-big.dtb exists
   10 15:18:15.707286  Using caching service: 'http://localhost/cache/?uri=%s'
   11 15:18:15.707972  Validating that http://localhost/cache/?uri=http%3A%2F%2Fstorage.kernelci.org%2Fcip-gitlab%2Fci-iwamatsu-linux-5.10.y-cip-rc%2Fv5.10.131-cip13-493-ge129308279043%2Farm%2Fmulti_v7_defconfig%2Fgcc-10%2Fmodules.tar.xz exists
   12 15:18:16.015285  validate duration: 1.21
   14 15:18:16.016726  start: 1 tftp-deploy (timeout 00:10:00) [common]
   15 15:18:16.017458  start: 1.1 download-retry (timeout 00:10:00) [common]
   16 15:18:16.018067  start: 1.1.1 http-download (timeout 00:10:00) [common]
   17 15:18:16.018760  Not decompressing ramdisk as can be used compressed.
   18 15:18:16.019368  downloading http://storage.kernelci.org/images/rootfs/buildroot/buildroot-baseline/20220805.0/armel/rootfs.cpio.gz
   19 15:18:16.019805  saving as /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/ramdisk/rootfs.cpio.gz
   20 15:18:16.020255  total size: 7877743 (7MB)
   21 15:18:16.023378  progress   0% (0MB)
   22 15:18:16.029435  progress   5% (0MB)
   23 15:18:16.035193  progress  10% (0MB)
   24 15:18:16.040888  progress  15% (1MB)
   25 15:18:16.046661  progress  20% (1MB)
   26 15:18:16.052521  progress  25% (1MB)
   27 15:18:16.058265  progress  30% (2MB)
   28 15:18:16.063998  progress  35% (2MB)
   29 15:18:16.069795  progress  40% (3MB)
   30 15:18:16.075417  progress  45% (3MB)
   31 15:18:16.081016  progress  50% (3MB)
   32 15:18:16.086667  progress  55% (4MB)
   33 15:18:16.092249  progress  60% (4MB)
   34 15:18:16.097857  progress  65% (4MB)
   35 15:18:16.103444  progress  70% (5MB)
   36 15:18:16.109014  progress  75% (5MB)
   37 15:18:16.114652  progress  80% (6MB)
   38 15:18:16.120242  progress  85% (6MB)
   39 15:18:16.125883  progress  90% (6MB)
   40 15:18:16.131502  progress  95% (7MB)
   41 15:18:16.137135  progress 100% (7MB)
   42 15:18:16.137756  7MB downloaded in 0.12s (63.94MB/s)
   43 15:18:16.138176  end: 1.1.1 http-download (duration 00:00:00) [common]
   45 15:18:16.138865  end: 1.1 download-retry (duration 00:00:00) [common]
   46 15:18:16.139137  start: 1.2 download-retry (timeout 00:10:00) [common]
   47 15:18:16.139437  start: 1.2.1 http-download (timeout 00:10:00) [common]
   48 15:18:16.139797  downloading http://storage.kernelci.org/cip-gitlab/ci-iwamatsu-linux-5.10.y-cip-rc/v5.10.131-cip13-493-ge129308279043/arm/multi_v7_defconfig/gcc-10/kernel/zImage
   49 15:18:16.140006  saving as /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/kernel/zImage
   50 15:18:16.140200  total size: 9667072 (9MB)
   51 15:18:16.140399  No compression specified
   52 15:18:16.143401  progress   0% (0MB)
   53 15:18:16.150461  progress   5% (0MB)
   54 15:18:16.157706  progress  10% (0MB)
   55 15:18:16.164844  progress  15% (1MB)
   56 15:18:16.172140  progress  20% (1MB)
   57 15:18:16.178859  progress  25% (2MB)
   58 15:18:16.186004  progress  30% (2MB)
   59 15:18:16.193262  progress  35% (3MB)
   60 15:18:16.200719  progress  40% (3MB)
   61 15:18:16.207440  progress  45% (4MB)
   62 15:18:16.214632  progress  50% (4MB)
   63 15:18:16.221770  progress  55% (5MB)
   64 15:18:16.228742  progress  60% (5MB)
   65 15:18:16.235295  progress  65% (6MB)
   66 15:18:16.242299  progress  70% (6MB)
   67 15:18:16.249313  progress  75% (6MB)
   68 15:18:16.256241  progress  80% (7MB)
   69 15:18:16.262804  progress  85% (7MB)
   70 15:18:16.269790  progress  90% (8MB)
   71 15:18:16.276808  progress  95% (8MB)
   72 15:18:16.283779  progress 100% (9MB)
   73 15:18:16.284241  9MB downloaded in 0.14s (64.01MB/s)
   74 15:18:16.284642  end: 1.2.1 http-download (duration 00:00:00) [common]
   76 15:18:16.285373  end: 1.2 download-retry (duration 00:00:00) [common]
   77 15:18:16.285639  start: 1.3 download-retry (timeout 00:10:00) [common]
   78 15:18:16.285908  start: 1.3.1 http-download (timeout 00:10:00) [common]
   79 15:18:16.286295  downloading http://storage.kernelci.org/cip-gitlab/ci-iwamatsu-linux-5.10.y-cip-rc/v5.10.131-cip13-493-ge129308279043/arm/multi_v7_defconfig/gcc-10/dtbs/tegra124-nyan-big.dtb
   80 15:18:16.286495  saving as /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/dtb/tegra124-nyan-big.dtb
   81 15:18:16.286718  total size: 94206 (0MB)
   82 15:18:16.286909  No compression specified
   83 15:18:16.289885  progress  34% (0MB)
   84 15:18:16.290588  progress  69% (0MB)
   85 15:18:16.291282  progress 100% (0MB)
   86 15:18:16.292017  0MB downloaded in 0.01s (16.98MB/s)
   87 15:18:16.292371  end: 1.3.1 http-download (duration 00:00:00) [common]
   89 15:18:16.293089  end: 1.3 download-retry (duration 00:00:00) [common]
   90 15:18:16.293352  start: 1.4 download-retry (timeout 00:10:00) [common]
   91 15:18:16.293614  start: 1.4.1 http-download (timeout 00:10:00) [common]
   92 15:18:16.293928  downloading http://storage.kernelci.org/cip-gitlab/ci-iwamatsu-linux-5.10.y-cip-rc/v5.10.131-cip13-493-ge129308279043/arm/multi_v7_defconfig/gcc-10/modules.tar.xz
   93 15:18:16.294136  saving as /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/modules/modules.tar
   94 15:18:16.294333  total size: 5359464 (5MB)
   95 15:18:16.294532  Using unxz to decompress xz
   96 15:18:16.302663  progress   0% (0MB)
   97 15:18:16.330393  progress   5% (0MB)
   98 15:18:16.362228  progress  10% (0MB)
   99 15:18:16.398951  progress  15% (0MB)
  100 15:18:16.432925  progress  20% (1MB)
  101 15:18:16.466550  progress  25% (1MB)
  102 15:18:16.503122  progress  30% (1MB)
  103 15:18:16.534197  progress  35% (1MB)
  104 15:18:16.564553  progress  40% (2MB)
  105 15:18:16.594277  progress  45% (2MB)
  106 15:18:16.624840  progress  50% (2MB)
  107 15:18:16.654322  progress  55% (2MB)
  108 15:18:16.687010  progress  60% (3MB)
  109 15:18:16.720101  progress  65% (3MB)
  110 15:18:16.749688  progress  70% (3MB)
  111 15:18:16.782362  progress  75% (3MB)
  112 15:18:16.812096  progress  80% (4MB)
  113 15:18:16.846153  progress  85% (4MB)
  114 15:18:16.876421  progress  90% (4MB)
  115 15:18:16.906465  progress  95% (4MB)
  116 15:18:16.935231  progress 100% (5MB)
  117 15:18:16.947290  5MB downloaded in 0.65s (7.83MB/s)
  118 15:18:16.948230  end: 1.4.1 http-download (duration 00:00:01) [common]
  120 15:18:16.949303  end: 1.4 download-retry (duration 00:00:01) [common]
  121 15:18:16.949703  start: 1.5 prepare-tftp-overlay (timeout 00:09:59) [common]
  122 15:18:16.950158  start: 1.5.1 extract-nfsrootfs (timeout 00:09:59) [common]
  123 15:18:16.950550  end: 1.5.1 extract-nfsrootfs (duration 00:00:00) [common]
  124 15:18:16.950936  start: 1.5.2 lava-overlay (timeout 00:09:59) [common]
  125 15:18:16.951712  [common] Preparing overlay tarball in /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi
  126 15:18:16.952254  makedir: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin
  127 15:18:16.952670  makedir: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/tests
  128 15:18:16.953114  makedir: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/results
  129 15:18:16.953509  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-add-keys
  130 15:18:16.954160  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-add-sources
  131 15:18:16.954729  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-background-process-start
  132 15:18:16.955304  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-background-process-stop
  133 15:18:16.955881  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-common-functions
  134 15:18:16.956448  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-echo-ipv4
  135 15:18:16.956982  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-install-packages
  136 15:18:16.957543  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-installed-packages
  137 15:18:16.958107  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-os-build
  138 15:18:16.958678  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-probe-channel
  139 15:18:16.959296  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-probe-ip
  140 15:18:16.959850  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-target-ip
  141 15:18:16.960408  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-target-mac
  142 15:18:16.960950  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-target-storage
  143 15:18:16.961384  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-case
  144 15:18:16.961797  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-event
  145 15:18:16.962203  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-feedback
  146 15:18:16.962623  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-raise
  147 15:18:16.963043  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-reference
  148 15:18:16.963503  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-runner
  149 15:18:16.963938  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-set
  150 15:18:16.964337  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-test-shell
  151 15:18:16.964756  Updating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-install-packages (oe)
  152 15:18:16.965228  Updating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/bin/lava-installed-packages (oe)
  153 15:18:16.965588  Creating /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/environment
  154 15:18:16.965890  LAVA metadata
  155 15:18:16.966106  - LAVA_JOB_ID=7015492
  156 15:18:16.966312  - LAVA_DISPATCHER_IP=192.168.101.1
  157 15:18:16.966656  start: 1.5.2.1 lava-vland-overlay (timeout 00:09:59) [common]
  158 15:18:16.966849  skipped lava-vland-overlay
  159 15:18:16.967106  end: 1.5.2.1 lava-vland-overlay (duration 00:00:00) [common]
  160 15:18:16.967370  start: 1.5.2.2 lava-multinode-overlay (timeout 00:09:59) [common]
  161 15:18:16.967564  skipped lava-multinode-overlay
  162 15:18:16.967813  end: 1.5.2.2 lava-multinode-overlay (duration 00:00:00) [common]
  163 15:18:16.968103  start: 1.5.2.3 test-definition (timeout 00:09:59) [common]
  164 15:18:16.968349  Loading test definitions
  165 15:18:16.968650  start: 1.5.2.3.1 inline-repo-action (timeout 00:09:59) [common]
  166 15:18:16.968914  Using /lava-7015492 at stage 0
  167 15:18:16.969943  uuid=7015492_1.5.2.3.1 testdef=None
  168 15:18:16.970215  end: 1.5.2.3.1 inline-repo-action (duration 00:00:00) [common]
  169 15:18:16.970499  start: 1.5.2.3.2 test-overlay (timeout 00:09:59) [common]
  170 15:18:16.972131  end: 1.5.2.3.2 test-overlay (duration 00:00:00) [common]
  172 15:18:16.972869  start: 1.5.2.3.3 test-install-overlay (timeout 00:09:59) [common]
  173 15:18:16.974758  end: 1.5.2.3.3 test-install-overlay (duration 00:00:00) [common]
  175 15:18:16.975470  start: 1.5.2.3.4 test-runscript-overlay (timeout 00:09:59) [common]
  176 15:18:16.977311  runner path: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/0/tests/0_dmesg test_uuid 7015492_1.5.2.3.1
  177 15:18:16.977800  end: 1.5.2.3.4 test-runscript-overlay (duration 00:00:00) [common]
  179 15:18:16.978483  start: 1.5.2.3.5 inline-repo-action (timeout 00:09:59) [common]
  180 15:18:16.978697  Using /lava-7015492 at stage 1
  181 15:18:16.979574  uuid=7015492_1.5.2.3.5 testdef=None
  182 15:18:16.979843  end: 1.5.2.3.5 inline-repo-action (duration 00:00:00) [common]
  183 15:18:16.980122  start: 1.5.2.3.6 test-overlay (timeout 00:09:59) [common]
  184 15:18:16.981582  end: 1.5.2.3.6 test-overlay (duration 00:00:00) [common]
  186 15:18:16.982236  start: 1.5.2.3.7 test-install-overlay (timeout 00:09:59) [common]
  187 15:18:16.984159  end: 1.5.2.3.7 test-install-overlay (duration 00:00:00) [common]
  189 15:18:16.984860  start: 1.5.2.3.8 test-runscript-overlay (timeout 00:09:59) [common]
  190 15:18:16.986821  runner path: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/1/tests/1_bootrr test_uuid 7015492_1.5.2.3.5
  191 15:18:16.987275  end: 1.5.2.3.8 test-runscript-overlay (duration 00:00:00) [common]
  193 15:18:16.987908  Creating lava-test-runner.conf files
  194 15:18:16.988109  Using lava-test-runner path: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/0 for stage 0
  195 15:18:16.988384  - 0_dmesg
  196 15:18:16.988637  Using lava-test-runner path: /var/lib/lava/dispatcher/tmp/7015492/lava-overlay-b72vugwi/lava-7015492/1 for stage 1
  197 15:18:16.988930  - 1_bootrr
  198 15:18:16.989255  end: 1.5.2.3 test-definition (duration 00:00:00) [common]
  199 15:18:16.989536  start: 1.5.2.4 compress-overlay (timeout 00:09:59) [common]
  200 15:18:17.018586  end: 1.5.2.4 compress-overlay (duration 00:00:00) [common]
  201 15:18:17.018893  start: 1.5.2.5 persistent-nfs-overlay (timeout 00:09:59) [common]
  202 15:18:17.019178  end: 1.5.2.5 persistent-nfs-overlay (duration 00:00:00) [common]
  203 15:18:17.019450  end: 1.5.2 lava-overlay (duration 00:00:00) [common]
  204 15:18:17.019731  start: 1.5.3 extract-overlay-ramdisk (timeout 00:09:59) [common]
  205 15:18:17.636306  end: 1.5.3 extract-overlay-ramdisk (duration 00:00:01) [common]
  206 15:18:17.637456  start: 1.5.4 extract-modules (timeout 00:09:58) [common]
  207 15:18:17.637775  extracting modules file /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/modules/modules.tar to /var/lib/lava/dispatcher/tmp/7015492/extract-overlay-ramdisk-hluuv11o/ramdisk
  208 15:18:18.248478  end: 1.5.4 extract-modules (duration 00:00:01) [common]
  209 15:18:18.248913  start: 1.5.5 apply-overlay-tftp (timeout 00:09:58) [common]
  210 15:18:18.249230  [common] Applying overlay /var/lib/lava/dispatcher/tmp/7015492/compress-overlay-muqo3_nf/overlay-1.5.2.4.tar.gz to ramdisk
  211 15:18:18.249468  [common] Applying overlay /var/lib/lava/dispatcher/tmp/7015492/compress-overlay-muqo3_nf/overlay-1.5.2.4.tar.gz to directory /var/lib/lava/dispatcher/tmp/7015492/extract-overlay-ramdisk-hluuv11o/ramdisk
  212 15:18:18.275451  end: 1.5.5 apply-overlay-tftp (duration 00:00:00) [common]
  213 15:18:18.275762  start: 1.5.6 configure-preseed-file (timeout 00:09:58) [common]
  214 15:18:18.276048  end: 1.5.6 configure-preseed-file (duration 00:00:00) [common]
  215 15:18:18.276317  start: 1.5.7 compress-ramdisk (timeout 00:09:58) [common]
  216 15:18:18.276552  Building ramdisk /var/lib/lava/dispatcher/tmp/7015492/extract-overlay-ramdisk-hluuv11o/ramdisk.cpio containing /var/lib/lava/dispatcher/tmp/7015492/extract-overlay-ramdisk-hluuv11o/ramdisk
  217 15:18:18.960101  >> 89828 blocks

  218 15:18:22.017380  rename /var/lib/lava/dispatcher/tmp/7015492/extract-overlay-ramdisk-hluuv11o/ramdisk.cpio.gz to /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/ramdisk/ramdisk.cpio.gz
  219 15:18:22.018828  end: 1.5.7 compress-ramdisk (duration 00:00:04) [common]
  220 15:18:22.019308  start: 1.5.8 prepare-kernel (timeout 00:09:54) [common]
  221 15:18:22.019753  start: 1.5.8.1 prepare-fit (timeout 00:09:54) [common]
  222 15:18:22.020303  mkimage -D "-I dts -O dtb -p 2048" -f auto -A arm -O linux -T kernel -C none -d /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/kernel/zImage -a 0 -b /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/dtb/tegra124-nyan-big.dtb -i /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/ramdisk/ramdisk.cpio.gz /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/kernel/image.itb
  223 15:18:22.206996  output: FIT description: Kernel Image image with one or more FDT blobs
  224 15:18:22.208067  output: Created:         Thu Aug 11 16:18:22 2022
  225 15:18:22.208583  output:  Image 0 (kernel-1)
  226 15:18:22.208827  output:   Description:  
  227 15:18:22.209023  output:   Created:      Thu Aug 11 16:18:22 2022
  228 15:18:22.209238  output:   Type:         Kernel Image
  229 15:18:22.209438  output:   Compression:  uncompressed
  230 15:18:22.209622  output:   Data Size:    9667072 Bytes = 9440.50 KiB = 9.22 MiB
  231 15:18:22.209808  output:   Architecture: ARM
  232 15:18:22.209990  output:   OS:           Linux
  233 15:18:22.210172  output:   Load Address: 0x00000000
  234 15:18:22.210352  output:   Entry Point:  0x00000000
  235 15:18:22.210533  output:  Image 1 (fdt-1)
  236 15:18:22.210712  output:   Description:  tegra124-nyan-big
  237 15:18:22.210891  output:   Created:      Thu Aug 11 16:18:22 2022
  238 15:18:22.211070  output:   Type:         Kernel Image
  239 15:18:22.211249  output:   Compression:  uncompressed
  240 15:18:22.211428  output:   Data Size:    94206 Bytes = 92.00 KiB = 0.09 MiB
  241 15:18:22.211607  output:   Architecture: ARM
  242 15:18:22.211784  output:   OS:           Unknown OS
  243 15:18:22.211962  output:   Load Address: unavailable
  244 15:18:22.212139  output:   Entry Point:  unavailable
  245 15:18:22.212317  output:  Image 2 (ramdisk-1)
  246 15:18:22.212494  output:   Description:  unavailable
  247 15:18:22.212672  output:   Created:      Thu Aug 11 16:18:22 2022
  248 15:18:22.212866  output:   Type:         RAMDisk Image
  249 15:18:22.213123  output:   Compression:  Unknown Compression
  250 15:18:22.213302  output:   Data Size:    16031148 Bytes = 15655.42 KiB = 15.29 MiB
  251 15:18:22.213485  output:   Architecture: Unknown Architecture
  252 15:18:22.213683  output:   OS:           Linux
  253 15:18:22.213859  output:   Load Address: unavailable
  254 15:18:22.214035  output:   Entry Point:  unavailable
  255 15:18:22.214213  output:  Default Configuration: 'conf-1'
  256 15:18:22.214390  output:  Configuration 0 (conf-1)
  257 15:18:22.214567  output:   Description:  tegra124-nyan-big
  258 15:18:22.214744  output:   Kernel:       kernel-1
  259 15:18:22.214920  output:   Init Ramdisk: ramdisk-1
  260 15:18:22.215096  output:   FDT:          fdt-1
  261 15:18:22.215272  output: 
  262 15:18:22.215819  end: 1.5.8.1 prepare-fit (duration 00:00:00) [common]
  263 15:18:22.216132  end: 1.5.8 prepare-kernel (duration 00:00:00) [common]
  264 15:18:22.216489  end: 1.5 prepare-tftp-overlay (duration 00:00:05) [common]
  265 15:18:22.216911  start: 1.6 lxc-create-udev-rule-action (timeout 00:09:54) [common]
  266 15:18:22.217187  No LXC device requested
  267 15:18:22.217456  end: 1.6 lxc-create-udev-rule-action (duration 00:00:00) [common]
  268 15:18:22.217734  start: 1.7 deploy-device-env (timeout 00:09:54) [common]
  269 15:18:22.217985  end: 1.7 deploy-device-env (duration 00:00:00) [common]
  270 15:18:22.218188  Checking files for TFTP limit of 4294967296 bytes.
  271 15:18:22.219722  end: 1 tftp-deploy (duration 00:00:06) [common]
  272 15:18:22.220045  start: 2 depthcharge-action (timeout 00:05:00) [common]
  273 15:18:22.220315  start: 2.1 depthcharge-overlay (timeout 00:05:00) [common]
  274 15:18:22.220691  substitutions:
  275 15:18:22.220914  - {DTB}: 7015492/tftp-deploy-23b3q75f/dtb/tegra124-nyan-big.dtb
  276 15:18:22.221152  - {INITRD}: 7015492/tftp-deploy-23b3q75f/ramdisk/ramdisk.cpio.gz
  277 15:18:22.221344  - {KERNEL}: 7015492/tftp-deploy-23b3q75f/kernel/zImage
  278 15:18:22.221531  - {LAVA_MAC}: None
  279 15:18:22.221717  - {PRESEED_CONFIG}: None
  280 15:18:22.221899  - {PRESEED_LOCAL}: None
  281 15:18:22.222080  - {RAMDISK}: 7015492/tftp-deploy-23b3q75f/ramdisk/ramdisk.cpio.gz
  282 15:18:22.222260  - {ROOT_PART}: None
  283 15:18:22.222439  - {ROOT}: None
  284 15:18:22.222619  - {SERVER_IP}: 192.168.101.1
  285 15:18:22.222798  - {TEE}: None
  286 15:18:22.222977  Parsed boot commands:
  287 15:18:22.223152  - tftpboot 192.168.101.1 {DEPTHCHARGE_KERNEL} {CMDLINE} {DEPTHCHARGE_RAMDISK}
  288 15:18:22.223699  Parsed boot commands: tftpboot 192.168.101.1 7015492/tftp-deploy-23b3q75f/kernel/image.itb 7015492/tftp-deploy-23b3q75f/kernel/cmdline 
  289 15:18:22.223981  end: 2.1 depthcharge-overlay (duration 00:00:00) [common]
  290 15:18:22.224256  start: 2.2 depthcharge-retry (timeout 00:05:00) [common]
  291 15:18:22.224549  start: 2.2.1 reset-connection (timeout 00:05:00) [common]
  292 15:18:22.224832  start: 2.2.1.1 disconnect-device (timeout 00:05:00) [common]
  293 15:18:22.225086  Not connected, no need to disconnect.
  294 15:18:22.225349  end: 2.2.1.1 disconnect-device (duration 00:00:00) [common]
  295 15:18:22.225613  start: 2.2.1.2 connect-device (timeout 00:05:00) [common]
  296 15:18:22.225832  [common] connect-device Connecting to device using '/usr/bin/console -f -M tumbleweed tegra124-nyan-big-cbg-0'
  297 15:18:22.232889  Setting prompt string to ['lava-test: # ']
  298 15:18:22.233756  end: 2.2.1.2 connect-device (duration 00:00:00) [common]
  299 15:18:22.234064  end: 2.2.1 reset-connection (duration 00:00:00) [common]
  300 15:18:22.234357  start: 2.2.2 reset-device (timeout 00:05:00) [common]
  301 15:18:22.234641  start: 2.2.2.1 pdu-reboot (timeout 00:05:00) [common]
  302 15:18:22.235172  Calling: 'nice' 'pduclient' '--daemon=tumbleweed' '--hostname=tegra124-nyan-big-cbg-0' '--command=reboot' '--port=1'
  303 15:18:22.297096  >> Command sent successfully.

  304 15:18:22.300970  Returned 0 in 0 seconds
  305 15:18:22.402567  end: 2.2.2.1 pdu-reboot (duration 00:00:00) [common]
  307 15:18:22.404313  end: 2.2.2 reset-device (duration 00:00:00) [common]
  308 15:18:22.404975  start: 2.2.3 depthcharge-start (timeout 00:05:00) [common]
  309 15:18:22.405606  Setting prompt string to 'Starting depthcharge on nyan_big...'
  310 15:18:22.406045  Changing prompt to 'Starting depthcharge on nyan_big...'
  311 15:18:22.406500  depthcharge-start: Wait for prompt Starting depthcharge on nyan_big... (timeout 00:05:00)
  312 15:18:22.408092  [Enter `^Ec?' for help]
  313 15:18:29.148363  
  314 15:18:29.149172  coreboot-7d042db9 Mon Oct 22 20:40:09 UTC 2018 bootblock starting...
  315 15:18:29.161934  Manufacturer: ef
  316 15:18:29.162430  SF: Detected W25Q32DW with sector size 0x1000, total 0x400000
  317 15:18:29.162861  VBOOT: Loading verstage.
  318 15:18:29.175269  CBFS @ 20000 size e0000
  319 15:18:29.175766  CBFS: 'Master Header Locator' located CBFS at [20000:100000)
  320 15:18:29.176200  CBFS: Locating 'fallback/verstage'
  321 15:18:29.190185  CBFS: Found @ offset 12ec0 size 8ca1
  322 15:18:29.212496  Ungating power partition 0.
  323 15:18:29.213035  Power gate toggle request accepted.
  324 15:18:29.224616  Ungated power partition 0.
  325 15:18:29.225332  Ungating power partition 15.
  326 15:18:29.225914  Ungated power partition 15.
  327 15:18:29.226481  Ungating power partition 14.
  328 15:18:29.235962  Power gate toggle request accepted.
  329 15:18:29.236476  Ungated power partition 14.
  330 15:18:29.237152  
  331 15:18:29.237707  
  332 15:18:29.238270  coreboot-7d042db9 Mon Oct 22 20:40:09 UTC 2018 verstage starting...
  333 15:18:29.240626  Exception handlers installed.
  334 15:18:29.246225  LPAE Translation tables are @ 40000000
  335 15:18:29.246588  Mapping address range [0x00000000:0x00000000) as uncached
  336 15:18:29.259234  Mapping address range [0x40000000:0x40100000) as writeback
  337 15:18:29.259673  Setting address range [0x00000000:0x00100000) as unmapped
  338 15:18:29.270409  Manufacturer: ef
  339 15:18:29.270881  SF: Detected W25Q32DW with sector size 0x1000, total 0x400000
  340 15:18:29.276446  out: cmd=0x17: 03 b1 17 00 01 00 14 00 00 00 00 00 0e 00 00 00 02 00 00 00 b7 0d 02 40 0a 00 00 00 
  341 15:18:29.289349  in-header: 03 5d 00 00 10 00 00 00 
  342 15:18:29.289863  in-data: 70 00 00 00 00 00 00 00 00 00 00 00 00 00 00 20 
  343 15:18:29.300684  tpm_vendor_probe: ValidSts bit set(1) in TPM_ACCESS register after 0 ms
  344 15:18:29.301281  I2C TPM 2:20 (chip type slb9645tt device-id 0x1A)
  345 15:18:29.301883  TPM: Startup
  346 15:18:29.320222  TPM: command 0x99 returned 0x0
  347 15:18:29.320746  TPM: Asserting physical presence
  348 15:18:29.331087  TPM: command 0x4000000a returned 0x0
  349 15:18:29.350334  TPM: command 0x65 returned 0x0
  350 15:18:29.350832  TPM: flags disable=0, deactivated=0, nvlocked=1
  351 15:18:29.351265  TPM: setup succeeded
  352 15:18:29.355376  TPM: tlcl_read(0x1007, 10)
  353 15:18:29.435867  TPM: command 0xcf returned 0x0
  354 15:18:29.436366  out: cmd=0xd: 03 f0 0d 00 00 00 00 00 
  355 15:18:29.436830  in-header: 03 fc 01 00 00 00 00 00 
  356 15:18:29.437310  in-data: 
  357 15:18:29.446056  handle_proto3_response: EC response with error code: 1
  358 15:18:29.446550  Chrome EC: UHEPI not supported
  359 15:18:29.460502  out: cmd=0x87: 03 b8 87 00 00 00 04 00 c5 b4 01 40 
  360 15:18:29.461040  in-header: 03 59 00 00 04 00 00 00 
  361 15:18:29.461526  in-data: 80 20 00 00 
  362 15:18:29.461942  Phase 1
  363 15:18:29.462351  FMAP: Found \"FLASH\" version 1.1 at 100000.
  364 15:18:29.465408  FMAP: base = 0 size = 400000 #areas = 21
  365 15:18:29.481882  FMAP: area GBB found @ 101000 (978688 bytes)
  366 15:18:29.482380  VB2:vb2_check_recovery() Recovery reason from previous boot: 0x0 / 0x0
  367 15:18:29.482814  Phase 2
  368 15:18:29.487811  Phase 3
  369 15:18:29.494968  FMAP: area GBB found @ 101000 (978688 bytes)
  370 15:18:29.505731  VB2:vb2_report_dev_firmware() This is developer signed firmware
  371 15:18:29.520113  FMAP: area VBLOCK_A found @ 200000 (8192 bytes)
  372 15:18:29.537483  FMAP: area VBLOCK_A found @ 200000 (8192 bytes)
  373 15:18:29.542505  VB2:vb2_verify_keyblock() Checking key block signature...
  374 15:18:29.560940  VB2:vb2_load_fw_keyblock() Ignoring FW key rollback due to GBB flag
  375 15:18:29.576710  FMAP: area VBLOCK_A found @ 200000 (8192 bytes)
  376 15:18:29.595087  FMAP: area VBLOCK_A found @ 200000 (8192 bytes)
  377 15:18:29.600585  VB2:vb2_verify_fw_preamble() Verifying preamble.
  378 15:18:29.609929  VB2:vb2_load_fw_preamble() Ignoring FW rollback due to GBB flag
  379 15:18:29.610429  Phase 4
  380 15:18:29.633811  FMAP: area FW_MAIN_A found @ 202000 (483072 bytes)
  381 15:18:29.634311  VB2:vb2api_init_hash() HW crypto for hash_alg 2 not supported, using SW
  382 15:18:29.947698  TPM: command 0x14 returned 0x0
  383 15:18:29.963887  TPM: command 0x14 returned 0x0
  384 15:18:29.964388  TPM: Set global lock
  385 15:18:29.964854  TPM: tlcl_write(0x0, 0)
  386 15:18:29.981894  TPM: command 0xcd returned 0x0
  387 15:18:29.982390  Slot A is selected
  388 15:18:29.982821  CBFS: 'VBOOT' located CBFS at [202000:235b00)
  389 15:18:29.987021  CBFS: Locating 'fallback/romstage'
  390 15:18:29.987520  CBFS: Found @ offset 0 size 76b6
  391 15:18:30.010201  
  392 15:18:30.010698  
  393 15:18:30.011131  coreboot-7d042db9 Mon Oct 22 20:40:09 UTC 2018 romstage starting...
  394 15:18:30.011554  Exception handlers installed.
  395 15:18:30.015123  get_sdram_config: RAMCODE=1
  396 15:18:30.015620  Initializing SDRAM of type 2 with 792000KHz
  397 15:18:30.026843  sdram_size_mb: Total SDRAM (MB): 2048
  398 15:18:30.027337  LPAE Translation tables are @ 40000000
  399 15:18:30.037847  Mapping address range [0x00000000:0x80000000) as uncached
  400 15:18:30.038344  Mapping address range [0x40000000:0x40100000) as writeback
  401 15:18:30.049258  Mapping address range [0x80000000:0x00000000) as writeback
  402 15:18:30.049756  Mapping address range [0x90000000:0x90200000) as uncached
  403 15:18:30.050185  Setting address range [0x00000000:0x00100000) as unmapped
  404 15:18:30.050601  CBMEM:
  405 15:18:30.056064  IMD: root @ fdfff000 254 entries.
  406 15:18:30.056560  IMD: root @ fdffec00 62 entries.
  407 15:18:30.067914  Manufacturer: ef
  408 15:18:30.068425  SF: Detected W25Q32DW with sector size 0x1000, total 0x400000
  409 15:18:30.068889  creating vboot_handoff structure
  410 15:18:30.074152  Copying FW preamble
  411 15:18:30.074650  out: cmd=0xd: 03 f0 0d 00 00 00 00 00 
  412 15:18:30.085966  in-header: 03 fc 01 00 00 00 00 00 
  413 15:18:30.086490  in-data: 
  414 15:18:30.086918  handle_proto3_response: EC response with error code: 1
  415 15:18:30.091287  Chrome EC: UHEPI not supported
  416 15:18:30.091784  out: cmd=0x87: 03 c0 87 00 00 00 04 00 c1 af 02 40 
  417 15:18:30.104855  in-header: 03 59 00 00 04 00 00 00 
  418 15:18:30.105419  in-data: 80 20 00 00 
  419 15:18:30.105850  Chrome EC: clear events_b mask to 0x0000000021004000
  420 15:18:30.109880  out: cmd=0x8f: 03 09 8f 00 00 00 04 00 00 40 00 21 
  421 15:18:30.123313  in-header: 03 fd 00 00 00 00 00 00 
  422 15:18:30.123805  in-data: 
  423 15:18:30.124247  CBFS: 'VBOOT' located CBFS at [202000:235b00)
  424 15:18:30.124667  CBFS: Locating 'fallback/ramstage'
  425 15:18:30.128723  CBFS: Found @ offset 7700 size b2c7
  426 15:18:30.160272  oreboot-7d042db9 Mon Oct 22 20:40:09 UTC 2018 ramstage starting...
  427 15:18:30.160799  sdram_size_mb: Total SDRAM (MB): 2048
  428 15:18:30.173044  Manufacturer: ef
  429 15:18:30.173591  SF: Detected W25Q32DW with sector size 0x1000, total 0x400000
  430 15:18:30.174024  FMAP: Found \"FLASH\" version 1.1 at 100000.
  431 15:18:30.178432  FMAP: base = 0 size = 400000 #areas = 21
  432 15:18:30.188948  FMAP: area RO_VPD found @ 1f0000 (65536 bytes)
  433 15:18:30.194142  WARNING: RO_VPD is uninitialized or empty.
  434 15:18:30.220711  FMAP: area RW_VPD found @ 2f8000 (32768 bytes)
  435 15:18:30.233438  WARNING: RW_VPD is uninitialized or empty.
  436 15:18:30.233970  Exception handlers installed.
  437 15:18:30.234777  BS: BS_PRE_DEVICE times (us): entry 1 run 0 exit 0
  438 15:18:30.244206  BS: BS_DEV_INIT_CHIPS times (us): entry 0 run 1 exit 0
  439 15:18:30.244835  Enumerating buses...
  440 15:18:30.245463  Show all devs... Before device enumeration.
  441 15:18:30.256153  Root Device: enabled 1
  442 15:18:30.256665  CPU_CLUSTER: 0: enabled 1
  443 15:18:30.257350  Compare with tree...
  444 15:18:30.257919  Root Device: enabled 1
  445 15:18:30.258480   CPU_CLUSTER: 0: enabled 1
  446 15:18:30.267114  Root Device scanning...
  447 15:18:30.267625  root_dev_scan_bus for Root Device
  448 15:18:30.268219  CPU_CLUSTER: 0 enabled
  449 15:18:30.268801  root_dev_scan_bus for Root Device done
  450 15:18:30.278031  scan_bus: scanning of bus Root Device took 10761 usecs
  451 15:18:30.278545  done
  452 15:18:30.279136  BS: BS_DEV_ENUMERATE times (us): entry 0 run 32804 exit 1
  453 15:18:30.289008  Allocating resources...
  454 15:18:30.289568  Reading resources...
  455 15:18:30.290164  Root Device read_resources bus 0 link: 0
  456 15:18:30.290729  Root Device read_resources bus 0 link: 0 done
  457 15:18:30.299818  Done reading resources.
  458 15:18:30.300332  Show resources in subtree (Root Device)...After reading.
  459 15:18:30.300964   Root Device child on link 0 CPU_CLUSTER: 0
  460 15:18:30.311290    CPU_CLUSTER: 0
  461 15:18:30.311803  Setting resources...
  462 15:18:30.312394  Root Device assign_resources, bus 0 link: 0
  463 15:18:30.312991  Root Device assign_resources, bus 0 link: 0
  464 15:18:30.321882  Done setting resources.
  465 15:18:30.322400  Show resources in subtree (Root Device)...After assigning values.
  466 15:18:30.322995   Root Device child on link 0 CPU_CLUSTER: 0
  467 15:18:30.333279    CPU_CLUSTER: 0
  468 15:18:30.333795  Done allocating resources.
  469 15:18:30.334383  BS: BS_DEV_RESOURCES times (us): entry 1 run 50079 exit 1
  470 15:18:30.334950  Enabling resources...
  471 15:18:30.344362  done.
  472 15:18:30.344935  BS: BS_DEV_ENABLE times (us): entry 0 run 2609 exit 0
  473 15:18:30.345594  Initializing devices...
  474 15:18:30.346160  Root Device init ...
  475 15:18:30.356026  USB controller @ 7d000000 set up with UTMI+ PHY
  476 15:18:30.356542  USB controller @ 7d008000 set up with UTMI+ PHY
  477 15:18:30.357384  Root Device init finished in 10477 usecs
  478 15:18:30.361273  CPU_CLUSTER: 0 init ...
  479 15:18:30.366656  Extracted contents:
  480 15:18:30.378800  header:          00 ff ff ff ff ff ff 00
  481 15:18:30.379298  serial number:   06 af 2c 13 00 00 00 00 00 18
  482 15:18:30.379726  version:         01 03
  483 15:18:30.383859  basic params:    80 1d 10 78 0a
  484 15:18:30.384354  chroma info:     bb f5 94 55 54 90 27 23 50 54
  485 15:18:30.395668  established:     00 00 00
  486 15:18:30.396165  standard:        01 01 01 01 01 01 01 01 01 01 01 01 01 01 01 01
  487 15:18:30.400630  descriptor 1:    26 1b 56 64 50 00 16 30 30 20 36 00 25 a4 10 00 00 18
  488 15:18:30.412881  descriptor 2:    00 00 00 0f 00 00 00 00 00 00 00 00 00 00 00 00 00 20
  489 15:18:30.413440  descriptor 3:    00 00 00 fe 00 41 55 4f 0a 20 20 20 20 20 20 20 20 20
  490 15:18:30.424311  descriptor 4:    00 00 00 fe 00 42 31 33 33 58 54 4e 30 31 2e 33 20 0a
  491 15:18:30.424834  extensions:      00
  492 15:18:30.425334  checksum:        4b
  493 15:18:30.425752  
  494 15:18:30.435517  Manufacturer: AUO Model 132c Serial Number 0
  495 15:18:30.436012  Made week 0 of 2014
  496 15:18:30.436442  EDID version: 1.3
  497 15:18:30.436891  Digital display
  498 15:18:30.437375  Maximum image size: 29 cm x 16 cm
  499 15:18:30.437783  Gamma: 220%
  500 15:18:30.446036  Check DPMS levels
  501 15:18:30.446533  Supported color formats: RGB 4:4:4, YCrCb 4:2:2
  502 15:18:30.446964  First detailed timing is preferred timing
  503 15:18:30.447384  Established timings supported:
  504 15:18:30.457192  Standard timings supported:
  505 15:18:30.457672  Detailed timings
  506 15:18:30.458066  Hex of detail: 261b5664500016303020360025a410000018
  507 15:18:30.469123  Detailed mode (IN HEX): Clock 69500 KHz, 125 mm x a4 mm
  508 15:18:30.469621                 0556 0586 05a6 05ba hborder 0
  509 15:18:30.470051                 0300 0303 0309 0316 vborder 0
  510 15:18:30.480610                 -hsync -vsync 
  511 15:18:30.481180  Did detailed timing
  512 15:18:30.481614  Hex of detail: 0000000f0000000000000000000000000020
  513 15:18:30.491209  Manufacturer-specified data, tag 15
  514 15:18:30.491705  Hex of detail: 000000fe0041554f0a202020202020202020
  515 15:18:30.492136  ASCII string: AUO
  516 15:18:30.502826  Hex of detail: 000000fe004231333358544e30312e33200a
  517 15:18:30.503322  ASCII string: B133XTN01.3 
  518 15:18:30.503755  Checksum
  519 15:18:30.504171  Checksum: 0x4b (valid)
  520 15:18:30.504582  WARNING: EDID block does NOT fully conform to EDID 1.3.
  521 15:18:30.512758  	Missing name descriptor
  522 15:18:30.513344  	Missing monitor ranges
  523 15:18:30.513776  tegra_dp_update_config: configuration updated by EDID.
  524 15:18:30.723073  display_startup: backlight vdd setting gpio 000e007a to 1
  525 15:18:30.723645  Mapping address range [0xfe000000:0xfe300000) as writethrough
  526 15:18:30.733479  LCD frame buffer at 4064MiB to 4067MiB
  527 15:18:30.733976  clock_display: PLLD=139000000 ref=12000000, m/n/p/cpcon=3/139/2/3
  528 15:18:30.745049  MODE:1366x768@60.008Hz pclk=69500000
  529 15:18:30.745595  update_display_mode: PixelClock=69500000, ShiftClockDiv=1
  530 15:18:30.746056  DP config: cfg_name               cfg_value
  531 15:18:30.755941             Lane Count             1
  532 15:18:30.756436             SupportEnhancedFraming Y
  533 15:18:30.756904             Bandwidth              10
  534 15:18:30.766941             bpp                    18
  535 15:18:30.767439             EnhancedFraming        Y
  536 15:18:30.767870             Scramble_enabled       N
  537 15:18:30.768286             LinkBW                 10
  538 15:18:30.777930             lane_count             1
  539 15:18:30.778528             activespolarity        0
  540 15:18:30.778972             active_count           37
  541 15:18:30.782844             tu_size                64
  542 15:18:30.783338             active_frac            15
  543 15:18:30.788021             watermark              18
  544 15:18:30.801036             hblank_sym             346
  545 15:18:30.801501             vblank_sym             5169
  546 15:18:30.801852  DP config: cfg_name               cfg_value
  547 15:18:30.812355             Lane Count             1
  548 15:18:30.812878             SupportEnhancedFraming Y
  549 15:18:30.813552             Bandwidth              10
  550 15:18:30.814121             bpp                    18
  551 15:18:30.823252             EnhancedFraming        Y
  552 15:18:30.823771             Scramble_enabled       N
  553 15:18:30.824353             LinkBW                 10
  554 15:18:30.834689             lane_count             1
  555 15:18:30.835203             activespolarity        0
  556 15:18:30.835787             active_count           37
  557 15:18:30.836356             tu_size                64
  558 15:18:30.845009             active_frac            15
  559 15:18:30.845581             watermark              18
  560 15:18:30.846158             hblank_sym             346
  561 15:18:30.850196             vblank_sym             5169
  562 15:18:30.855538  Fast link trainging succeeded, link bw 10, lane 1
  563 15:18:30.877223  tegra_dc_sor_attach: sor is attached
  564 15:18:30.882554  display_startup: enable panel backlight pwm
  565 15:18:30.904028  display_startup: backlight enable setting gpio 0086003a to 1
  566 15:18:30.904542  display_startup: display init done.
  567 15:18:30.905216  CPU: Tegra124
  568 15:18:30.914624  CPU_CLUSTER: 0 init finished in 546484 usecs
  569 15:18:30.915194  Devices initialized
  570 15:18:30.915764  Show all devs... After init.
  571 15:18:30.916316  Root Device: enabled 1
  572 15:18:30.920132  CPU_CLUSTER: 0: enabled 1
  573 15:18:30.920647  BS: BS_DEV_INIT times (us): entry 0 run 575619 exit 1
  574 15:18:30.945229  FMAP: area RW_ELOG found @ 27c000 (16384 bytes)
  575 15:18:30.945763  ELOG: NV offset 0x27c000 size 0x4000
  576 15:18:30.958900  ELOG: area is 4096 bytes, full threshold 3842, shrink size 1024
  577 15:18:30.959414  ELOG: Event(17) added with size 13 at 2022-08-11 15:21:12 UTC
  578 15:18:30.967450  out: cmd=0xd: 03 f0 0d 00 00 00 00 00 
  579 15:18:30.980270  in-header: 03 fc 01 00 00 00 00 00 
  580 15:18:30.980810  in-data: 
  581 15:18:30.981449  handle_proto3_response: EC response with error code: 1
  582 15:18:30.985252  Chrome EC: UHEPI not supported
  583 15:18:30.985769  out: cmd=0x87: 03 48 87 00 00 00 04 00 d5 b5 20 80 
  584 15:18:31.000629  in-header: 03 59 00 00 04 00 00 00 
  585 15:18:31.001223  in-data: 80 20 00 00 
  586 15:18:31.013813  out: cmd=0x17: 03 ff 17 00 01 00 14 00 00 00 00 00 06 00 00 00 b7 87 20 80 c2 07 fe fd d5 b5 20 80 
  587 15:18:31.014339  in-header: 03 5d 00 00 10 00 00 00 
  588 15:18:31.020992  in-data: 70 00 00 00 00 00 00 00 00 00 00 00 00 00 00 20 
  589 15:18:31.021648  ELOG: Event(A0) added with size 9 at 2022-08-11 15:21:12 UTC
  590 15:18:31.039033  elog_add_boot_reason: Logged dev mode boot
  591 15:18:31.039511  Finalize devices...
  592 15:18:31.040054  Devices finalized
  593 15:18:31.044091  BS: BS_POST_DEVICE times (us): entry 112589 run 3474 exit 0
  594 15:18:31.056040  BS: BS_OS_RESUME_CHECK times (us): entry 0 run 1 exit 0
  595 15:18:31.056553  Writing coreboot table at 0xfdeda000
  596 15:18:31.057206   0. 0000000040000000-0000000040004fff: RAMSTAGE
  597 15:18:31.067267   1. 000000004000e000-000000004000ffff: RAMSTAGE
  598 15:18:31.067768   2. 0000000080000000-00000000800fffff: RAM
  599 15:18:31.068200   3. 0000000080100000-000000008021bfff: RAMSTAGE
  600 15:18:31.078108   4. 000000008021c000-00000000fded9fff: RAM
  601 15:18:31.078609   5. 00000000fdeda000-00000000fdffffff: CONFIGURATION TABLES
  602 15:18:31.083504   6. 00000000fe000000-00000000ffffffff: RESERVED
  603 15:18:31.083999  out: cmd=0x87: 03 42 87 00 00 00 04 00 30 00 00 00 
  604 15:18:31.097571  in-header: 03 59 00 00 04 00 00 00 
  605 15:18:31.098070  in-data: 80 20 00 00 
  606 15:18:31.098502  Passing 6 GPIOs to payload:
  607 15:18:31.108098              NAME |       PORT | POLARITY |     VALUE
  608 15:18:31.108599     write protect | 0x00b00089 |      low |       low
  609 15:18:31.109152          recovery |  undefined |     high |       low
  610 15:18:31.119498               lid | 0x00b3008c |     high | undefined
  611 15:18:31.119998             power | 0x00bf0080 |      low | undefined
  612 15:18:31.124717          EC in RW | 0x006500a4 |     high | undefined
  613 15:18:31.136880             reset | 0x00710045 |      low | undefined
  614 15:18:31.137440  Reading tristate GPIOs: 0 0 1 1 = 4 (standard base3 number system)
  615 15:18:31.137877  Board TRISTATE ID: 4.
  616 15:18:31.138294  Board ID: 4
  617 15:18:31.148594  CBFS: 'VBOOT' located CBFS at [202000:235b00)
  618 15:18:31.149158  Wrote coreboot table at: fdeda000, 0x338 bytes, checksum dff6
  619 15:18:31.154027  coreboot table: 848 bytes.
  620 15:18:31.154523  IMD ROOT    0. fdfff000 00001000
  621 15:18:31.166067  IMD SMALL   1. fdffe000 00001000
  622 15:18:31.166565  CONSOLE     2. fdfde000 00020000
  623 15:18:31.166996  TIME STAMP  3. fdfdd000 00000910
  624 15:18:31.167413  VBOOT       4. fdfdc000 00000c0c
  625 15:18:31.176720  RAMOOPS     5. fdedc000 00100000
  626 15:18:31.177292  COREBOOT    6. fdeda000 00002000
  627 15:18:31.177726  IMD small region:
  628 15:18:31.178240    IMD ROOT    0. fdffec00 00000400
  629 15:18:31.188679    VBOOT SEL   1. fdffebe0 00000008
  630 15:18:31.189257    EC HOSTEVENT 2. fdffebc0 00000008
  631 15:18:31.189693  BS: BS_WRITE_TABLES times (us): entry 1 run 135255 exit 0
  632 15:18:31.193437  CBFS: 'VBOOT' located CBFS at [202000:235b00)
  633 15:18:31.193934  CBFS: Locating 'fallback/payload'
  634 15:18:31.213947  CBFS: Found @ offset 19200 size 1a89a
  635 15:18:31.250696  Loading segment from ROM address 0x80100000
  636 15:18:31.251197    code (compression=1)
  637 15:18:31.261687    New segment dstaddr 0xa0104040 memsize 0x85dca0 srcaddr 0x80100038 filesize 0x1a862
  638 15:18:31.262190  Loading Segment: addr: 0xa0104040 memsz: 0x000000000085dca0 filesz: 0x000000000001a862
  639 15:18:31.262623  using LZMA
  640 15:18:31.288903  [ 0xa0104040, a0132244, 0xa0961ce0) <- 80100038
  641 15:18:31.289463  Clearing Segment: addr: 0x00000000a0132244 memsz: 0x000000000082fa9c
  642 15:18:31.300041  Loading segment from ROM address 0x8010001c
  643 15:18:31.300540    Entry Point 0xa0104041
  644 15:18:31.301025  Loaded segments
  645 15:18:31.311126  BS: BS_PAYLOAD_LOAD times (us): entry 0 run 110354 exit 1
  646 15:18:31.311625  Jumping to boot code at a0104041(fdeda000)
  647 15:18:31.321465  CPU0: stack: 4000e000 - 40010000, lowest used address 4000f89c, stack used: 1892 bytes
  648 15:18:31.322019  
  649 15:18:31.322447  Starting depthcharge on nyan_big...
  650 15:18:31.322863  [gtucker] Oct 24 2018 18:11:50
  651 15:18:31.323273  
  652 15:18:31.324334  end: 2.2.3 depthcharge-start (duration 00:00:09) [common]
  653 15:18:31.325012  start: 2.2.4 bootloader-commands (timeout 00:04:51) [common]
  654 15:18:31.325584  Setting prompt string to ['nyan_big:']
  655 15:18:31.326106  bootloader-commands: Wait for prompt ['nyan_big:'] (timeout 00:04:51)
  656 15:18:31.327097  Setting prompt string to ['nyan_big:', 'Tftp failed.', 'Dhcp release failed.', 'No space left for ramdisk', 'TFTP failed for ramdisk.', 'Dhcp release failed.', 'Out of space adding TFTP server IP to the command line.', 'No network device.', 'Error code \\d+']
  658 15:18:31.429009  nyan_big: tftpboot 192.168.101.1 7015492/tftp-deploy-23b3q75f/kernel/image.itb 7015492/tftp-deploy-23b3q75f/kernel/cmdline 
  659 15:18:31.429680  Setting prompt string to 'Exiting depthcharge'
  660 15:18:31.430128  Setting prompt string to ['Exiting depthcharge']
  661 15:18:31.430659  Setting prompt string to ['Exiting depthcharge', 'Tftp failed.', 'Dhcp release failed.', 'No space left for ramdisk', 'TFTP failed for ramdisk.', 'Dhcp release failed.', 'Out of space adding TFTP server IP to the command line.', 'No network device.', 'Error code \\d+']
  662 15:18:31.431143  bootloader-commands: Wait for prompt ['Exiting depthcharge', 'Tftp failed.', 'Dhcp release failed.', 'No space left for ramdisk', 'TFTP failed for ramdisk.', 'Dhcp release failed.', 'Out of space adding TFTP server IP to the command line.', 'No network device.', 'Error code \\d+'] (timeout 00:04:51)
  663 15:18:31.445585  tftpboot 192.168.101.1 7015492/tftp-deploy-23b3q75f/kernel/image.itb 7015492/tftp-deploy-23b3q75f/kernel/cmdline 
  664 15:18:31.446109  Waiting for link
  665 15:18:31.446635  Initializing EHCI USB controller at 0x7d008100.
  666 15:18:31.489780  Initializing EHCI USB controller at 0x7d000100.
  667 15:18:31.847481  SMSC95xx: Initializing
  668 15:18:31.857875  SMSC95xx: Done initializing
  669 15:18:31.863110  Adding net device
  670 15:18:33.644180  done.
  671 15:18:33.655431  MAC: 94:eb:2c:03:05:15
  672 15:18:33.655911  Sending DHCP discover... done.
  673 15:18:39.204345  Waiting for reply... SMSC95xx: Bulk read error 0xffffffff
  674 15:18:39.205019  Receive failed.
  675 15:18:39.205511  done.
  676 15:18:39.205932  Sending DHCP request... done.
  677 15:18:39.287922  Waiting for reply... done.
  678 15:18:39.288396  My ip is 192.168.101.163
  679 15:18:39.288838  The DHCP server ip is 192.168.101.1
  680 15:18:39.289329  TFTP server IP predefined by user: 192.168.101.1
  681 15:18:39.299320  Bootfile predefined by user: 7015492/tftp-deploy-23b3q75f/kernel/image.itb
  682 15:18:39.299888  Sending tftp read request... done.
  683 15:18:39.303075  Waiting for the transfer... 
  684 15:18:39.917285  00000000 ################################################################
  685 15:18:40.534819  00080000 ################################################################
  686 15:18:41.197534  00100000 ################################################################
  687 15:18:41.838862  00180000 ################################################################
  688 15:18:42.479948  00200000 ################################################################
  689 15:18:43.088269  00280000 ################################################################
  690 15:18:43.696047  00300000 ################################################################
  691 15:18:44.313907  00380000 ################################################################
  692 15:18:44.916423  00400000 ################################################################
  693 15:18:45.564685  00480000 ################################################################
  694 15:18:46.158962  00500000 ################################################################
  695 15:18:46.725613  00580000 ################################################################
  696 15:18:47.382229  00600000 ################################################################
  697 15:18:47.975904  00680000 ################################################################
  698 15:18:48.591280  00700000 ################################################################
  699 15:18:49.225280  00780000 ################################################################
  700 15:18:49.829379  00800000 ################################################################
  701 15:18:50.478721  00880000 ################################################################
  702 15:18:51.076011  00900000 ################################################################
  703 15:18:51.689785  00980000 ################################################################
  704 15:18:52.315265  00a00000 ################################################################
  705 15:18:52.986616  00a80000 ################################################################
  706 15:18:53.610465  00b00000 ################################################################
  707 15:18:54.252633  00b80000 ################################################################
  708 15:18:54.869135  00c00000 ################################################################
  709 15:18:55.501516  00c80000 ################################################################
  710 15:18:56.121859  00d00000 ################################################################
  711 15:18:56.717037  00d80000 ################################################################
  712 15:18:57.277378  00e00000 ################################################################
  713 15:18:57.844561  00e80000 ################################################################
  714 15:18:58.461409  00f00000 ################################################################
  715 15:18:59.015115  00f80000 ################################################################
  716 15:18:59.570703  01000000 ################################################################
  717 15:19:00.184045  01080000 ################################################################
  718 15:19:00.785242  01100000 ################################################################
  719 15:19:01.374521  01180000 ################################################################
  720 15:19:01.923223  01200000 ################################################################
  721 15:19:02.473570  01280000 ################################################################
  722 15:19:03.036136  01300000 ################################################################
  723 15:19:03.646584  01380000 ################################################################
  724 15:19:04.258970  01400000 ################################################################
  725 15:19:04.869134  01480000 ################################################################
  726 15:19:05.456750  01500000 ################################################################
  727 15:19:06.069920  01580000 ################################################################
  728 15:19:06.666119  01600000 ################################################################
  729 15:19:07.293628  01680000 ################################################################
  730 15:19:07.902250  01700000 ################################################################
  731 15:19:08.460383  01780000 ################################################################
  732 15:19:09.041748  01800000 ################################################################
  733 15:19:09.167812  01880000 ############# done.
  734 15:19:09.168312  The bootfile was 25794267 bytes long.
  735 15:19:09.168732  Sending tftp read request... done.
  736 15:19:09.169658  Waiting for the transfer... 
  737 15:19:09.178127  00000000 # done.
  738 15:19:09.178623  Command line loaded dynamically from TFTP file: 7015492/tftp-deploy-23b3q75f/kernel/cmdline
  739 15:19:09.200627  The command line is: earlyprintk=ttyS2,115200n8 console=tty1 console_msg_format=syslog earlycon console=ttyS0,115200n8 root=/dev/ram0 ip=dhcp tftpserverip=192.168.101.1
  740 15:19:09.201240  Loading FIT.
  741 15:19:09.201656  Image ramdisk-1 has 16031148 bytes.
  742 15:19:09.202056  Image fdt-1 has 94206 bytes.
  743 15:19:09.202448  Image kernel-1 has 9667072 bytes.
  744 15:19:09.210885  Compat preference: google,nyan-big-rev4 google,nyan-big
  745 15:19:09.239388  Config conf-1 (default), kernel kernel-1, fdt fdt-1, ramdisk ramdisk-1, compat google,nyan-big-rev7 google,nyan-big-rev6 google,nyan-big-rev5 google,nyan-big-rev4 (match) google,nyan-big-rev3 google,nyan-big-rev2 google,nyan-big-rev1 google,nyan-big-rev0 google,nyan-big google,nyan nvidia,tegra124
  746 15:19:09.239878  Choosing best match conf-1 for compat google,nyan-big-rev4.
  747 15:19:09.248639  Shutting down all USB controllers.
  748 15:19:09.249202  Removing current net device
  749 15:19:09.249584  Exiting depthcharge with code 4 at timestamp: 40126688
  750 15:19:09.251236  end: 2.2.4 bootloader-commands (duration 00:00:38) [common]
  751 15:19:09.252064  start: 2.2.5 auto-login-action (timeout 00:04:13) [common]
  752 15:19:09.252701  Setting prompt string to ['Linux version [0-9]']
  753 15:19:09.253304  Setting prompt string to ['Linux version [0-9]', 'Tftp failed.', 'Dhcp release failed.', 'No space left for ramdisk', 'TFTP failed for ramdisk.', 'Dhcp release failed.', 'Out of space adding TFTP server IP to the command line.', 'No network device.', 'Error code \\d+']
  754 15:19:09.253787  auto-login-action: Wait for prompt ['Linux version [0-9]', 'Tftp failed.', 'Dhcp release failed.', 'No space left for ramdisk', 'TFTP failed for ramdisk.', 'Dhcp release failed.', 'Out of space adding TFTP server IP to the command line.', 'No network device.', 'Error code \\d+'] (timeout 00:05:00)
  755 15:19:09.431369  [    0.000000] Booting Linux on physical CPU 0x0
  756 15:19:09.432276  start: 2.2.5.1 login-action (timeout 00:04:13) [common]
  757 15:19:09.432734  The string '/ #' does not look like a typical prompt and could match status messages instead. Please check the job log files and use a prompt string which matches the actual prompt string more closely.
  758 15:19:09.433422  Setting prompt string to ['-+\\[ cut here \\]-+\\s+(.*\\s+-+\\[ end trace (\\w*) \\]-+)', '(Unhandled fault.*)\\r\\n', 'Kernel panic - (.*) end Kernel panic', 'Stack:\\s+(.*\\s+-+\\[ end trace (\\w*) \\]-+)', '^[^\\n]+WARNING:.*?$', '^[^\\n]+Oops(?: -|:).*?$', '^[^\\n]+BUG:.*?$']
  759 15:19:09.433948  Using line separator: #'\n'#
  760 15:19:09.434351  No login prompt set.
  761 15:19:09.434772  Parsing kernel messages
  762 15:19:09.435158  ['-+\\[ cut here \\]-+\\s+(.*\\s+-+\\[ end trace (\\w*) \\]-+)', '(Unhandled fault.*)\\r\\n', 'Kernel panic - (.*) end Kernel panic', 'Stack:\\s+(.*\\s+-+\\[ end trace (\\w*) \\]-+)', '^[^\\n]+WARNING:.*?$', '^[^\\n]+Oops(?: -|:).*?$', '^[^\\n]+BUG:.*?$', '/ #', 'Login timed out', 'Login incorrect']
  763 15:19:09.435794  [login-action] Waiting for messages, (timeout 00:04:13)
  764 15:19:09.454480  [    0.000000] Linux version 5.10.136-cip13 (KernelCI@build-j18940-arm-gcc-10-multi-v7-defconfig-n2fjm) (arm-linux-gnueabihf-gcc (Debian 10.2.1-6) 10.2.1 20210110, GNU ld (GNU Binutils for Debian) 2.35.2) #1 SMP Thu Aug 11 13:54:02 UTC 2022
  765 15:19:09.455010  [    0.000000] CPU: ARMv7 Processor [413fc0f3] revision 3 (ARMv7), cr=10c5387d
  766 15:19:09.465053  [    0.000000] CPU: div instructions available: patching division code
  767 15:19:09.477618  [    0.000000] CPU: PIPT / VIPT nonaliasing data cache, PIPT instruction cache
  768 15:19:09.477986  [    0.000000] OF: fdt: Machine model: Acer Chromebook 13 CB5-311
  769 15:19:09.488474  [    0.000000] earlycon: uart0 at MMIO 0x70006000 (options '115200n8')
  770 15:19:09.488988  [    0.000000] printk: bootconsole [uart0] enabled
  771 15:19:09.489473  [    0.000000] Memory policy: Data cache writealloc
  772 15:19:09.500392  [    0.000000] efi: UEFI not found.
  773 15:19:09.500912  [    0.000000] cma: Reserved 64 MiB at 0xf9800000
  774 15:19:09.501393  [    0.000000] Zone ranges:
  775 15:19:09.512463  [    0.000000]   DMA      [mem 0x0000000080000000-0x00000000afffffff]
  776 15:19:09.512986  [    0.000000]   Normal   empty
  777 15:19:09.523864  [    0.000000]   HighMem  [mem 0x00000000b0000000-0x00000000fddfffff]
  778 15:19:09.524355  [    0.000000] Movable zone start for each node
  779 15:19:09.524811  [    0.000000] Early memory node ranges
  780 15:19:09.534690  [    0.000000]   node   0: [mem 0x0000000080000000-0x00000000fddfffff]
  781 15:19:09.541135  [    0.000000] Initmem setup node 0 [mem 0x0000000080000000-0x00000000fddfffff]
  782 15:19:09.564278  [    0.000000] percpu: Embedded 20 pages/cpu s49868 r8192 d23860 u81920
  783 15:19:09.575139  [    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 514048
  784 15:19:09.587144  [    0.000000] Kernel command line: earlyprintk=ttyS2,115200n8 console=tty1 console_msg_format=syslog earlycon console=ttyS0,115200n8 root=/dev/ram0 ip=dhcp tftpserverip=192.168.101.1
  785 15:19:09.598005  <6>[    0.000000] Dentry cache hash table entries: 131072 (order: 7, 524288 bytes, linear)
  786 15:19:09.606780  <6>[    0.000000] Inode-cache hash table entries: 65536 (order: 6, 262144 bytes, linear)
  787 15:19:09.607008  <6>[    0.000000] mem auto-init: stack:off, heap alloc:off, heap free:off
  788 15:19:09.781675  <6>[    0.000000] Memory: 1939364K/2062336K available (13312K kernel code, 2230K rwdata, 5340K rodata, 2048K init, 425K bss, 57436K reserved, 65536K cma-reserved, 1210368K highmem)
  789 15:19:09.794556  <6>[    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
  790 15:19:09.794980  <6>[    0.000000] rcu: Hierarchical RCU implementation.
  791 15:19:09.795349  <6>[    0.000000] rcu: 	RCU event tracing is enabled.
  792 15:19:09.804444  <6>[    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=16 to nr_cpu_ids=4.
  793 15:19:09.816019  <6>[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 10 jiffies.
  794 15:19:09.816539  <6>[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
  795 15:19:09.823063  <6>[    0.000000] NR_IRQS: 16, nr_irqs: 16, preallocated irqs: 16
  796 15:19:09.837239  <6>[    0.000000] /interrupt-controller@60004000: 160 interrupts forwarded to /interrupt-controller@50041000
  797 15:19:09.865791  <6>[    0.000006] sched_clock: 32 bits at 1000kHz, resolution 1000ns, wraps every 2147483647500ns
  798 15:19:09.877849  <6>[    0.008901] clocksource: timer_us: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 1911260446275 ns
  799 15:19:09.890573  <6>[    0.019050] Switching to timer-based delay loop, resolution 1000ns
  800 15:19:09.903258  <6>[    0.027359] clocksource: tegra_suspend_timer: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 1911260446275000 ns
  801 15:19:09.903754  <6>[    0.039892] arch_timer: cp15 timer(s) running at 12.00MHz (virt).
  802 15:19:09.914897  <6>[    0.046452] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x2c47f4ee7, max_idle_ns: 440795202497 ns
  803 15:19:09.925944  <6>[    0.057857] sched_clock: 56 bits at 12MHz, resolution 83ns, wraps every 4398046511096ns
  804 15:19:09.938702  <6>[    0.066388] Switching to timer-based delay loop, resolution 83ns
  805 15:19:09.939193  <6>[    0.073110] Console: colour dummy device 80x30
  806 15:19:09.939605  <6>[    0.078150] printk: console [tty1] enabled
  807 15:19:09.949974  <6>[    0.082663] Calibrating delay loop (skipped), value calculated using timer frequency.. 24.00 BogoMIPS (lpj=120000)
  808 15:19:09.960939  <6>[    0.093630] pid_max: default: 32768 minimum: 301
  809 15:19:09.961494  <6>[    0.098721] Mount-cache hash table entries: 2048 (order: 1, 8192 bytes, linear)
  810 15:19:09.979338  <6>[    0.106543] Mountpoint-cache hash table entries: 2048 (order: 1, 8192 bytes, linear)
  811 15:19:09.979830  <6>[    0.115061] CPU: Testing write buffer coherency: ok
  812 15:19:09.989602  <3>[    0.120388] CPU0: Spectre v2: firmware did not set auxiliary control register IBE bit, system vulnerable
  813 15:19:10.002264  <6>[    0.130452] CPU0: Spectre BHB: enabling loop workaround for all CPUs
  814 15:19:10.002755  <3>[    0.137378] /cpus/cpu@0 missing clock-frequency property
  815 15:19:10.013173  <3>[    0.143149] /cpus/cpu@1 missing clock-frequency property
  816 15:19:10.013669  <3>[    0.148911] /cpus/cpu@2 missing clock-frequency property
  817 15:19:10.025338  <3>[    0.154678] /cpus/cpu@3 missing clock-frequency property
  818 15:19:10.025828  <6>[    0.160430] CPU0: thread -1, cpu 0, socket 0, mpidr 80000000
  819 15:19:10.039671  <6>[    0.166747] Setting up static identity map for 0x80300000 - 0x803000ac
  820 15:19:10.040165  <6>[    0.174646] rcu: Hierarchical SRCU implementation.
  821 15:19:10.050812  <6>[    0.181330] Tegra Revision: A01 SKU: 131 CPU Process: 1 SoC Process: 1
  822 15:19:10.051305  <6>[    0.188656] EFI services will not be available.
  823 15:19:10.062596  <6>[    0.193691] smp: Bringing up secondary CPUs ...
  824 15:19:10.063088  <6>[    0.198930] CPU1: thread -1, cpu 1, socket 0, mpidr 80000001
  825 15:19:10.074125  <3>[    0.198934] CPU1: Spectre v2: firmware did not set auxiliary control register IBE bit, system vulnerable
  826 15:19:10.085742  <6>[    0.215445] CPU2: thread -1, cpu 2, socket 0, mpidr 80000002
  827 15:19:10.097509  <3>[    0.215448] CPU2: Spectre v2: firmware did not set auxiliary control register IBE bit, system vulnerable
  828 15:19:10.098015  <6>[    0.231947] CPU3: thread -1, cpu 3, socket 0, mpidr 80000003
  829 15:19:10.108407  <3>[    0.231950] CPU3: Spectre v2: firmware did not set auxiliary control register IBE bit, system vulnerable
  830 15:19:10.108917  <6>[    0.248165] smp: Brought up 1 node, 4 CPUs
  831 15:19:10.120642  <6>[    0.252660] SMP: Total of 4 processors activated (96.00 BogoMIPS).
  832 15:19:10.121226  <6>[    0.259316] CPU: All CPU(s) started in SVC mode.
  833 15:19:10.125622  <6>[    0.264582] devtmpfs: initialized
  834 15:19:10.139377  <6>[    0.274150] VFP support v0.3: implementor 41 architecture 4 part 30 variant f rev 0
  835 15:19:10.151223  <6>[    0.282429] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 19112604462750000 ns
  836 15:19:10.164440  <6>[    0.292864] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
  837 15:19:10.164967  <6>[    0.300760] pinctrl core: initialized pinctrl subsystem
  838 15:19:10.177096  <6>[    0.307335] DMI not present or invalid.
  839 15:19:10.177597  <6>[    0.311806] NET: Registered protocol family 16
  840 15:19:10.189776  <6>[    0.317476] DMA: preallocated 256 KiB pool for atomic coherent allocations
  841 15:19:10.190270  <6>[    0.325968] thermal_sys: Registered thermal governor 'step_wise'
  842 15:19:10.195207  <6>[    0.326228] cpuidle: using governor menu
  843 15:19:10.231281  <6>[    0.364811] No ATAGs?
  844 15:19:10.231777  <6>[    0.367483] hw-breakpoint: found 5 (+1 reserved) breakpoint and 4 watchpoint registers.
  845 15:19:10.236635  <6>[    0.376026] hw-breakpoint: maximum watchpoint size is 8 bytes.
  846 15:19:10.247339  <6>[    0.388537] Serial: AMBA PL011 UART driver
  847 15:19:10.259515  <6>[    0.393663] platform 50000000.host1x: Adding to iommu group 0
  848 15:19:10.271913  <6>[    0.399929] platform 57000000.gpu: Adding to iommu group 1
  849 15:19:10.272416  <6>[    0.406782] printk: console [ramoops-1] enabled
  850 15:19:10.280334  <6>[    0.411837] pstore: Registered ramoops as persistent store backend
  851 15:19:10.280870  <6>[    0.418521] ramoops: using 0x100000@0xfdedc000, ecc: 0
  852 15:19:10.300693  <6>[    0.434102] +5V_SYS: supplied by +VDD_MUX
  853 15:19:10.301279  <6>[    0.438699] +3.3V_SYS: supplied by +VDD_MUX
  854 15:19:10.313151  <6>[    0.443646] +VDD_LED: supplied by +VDD_MUX
  855 15:19:10.313653  <6>[    0.448260] +5V_VDD_TS_SW: supplied by +5V_SYS
  856 15:19:10.314088  <6>[    0.453334] +5V_USB_HS: supplied by +5V_SYS
  857 15:19:10.325594  <6>[    0.458087] +5V_USB_SS: supplied by +5V_SYS
  858 15:19:10.326097  <6>[    0.463004] +5V_HDMI_CON: supplied by +5V_SYS
  859 15:19:10.331220  <6>[    0.468602] iommu: Default domain type: Translated 
  860 15:19:10.331724  <6>[    0.474032] vgaarb: loaded
  861 15:19:10.344087  <5>[    0.477410] SCSI subsystem initialized
  862 15:19:10.344589  <6>[    0.481740] usbcore: registered new interface driver usbfs
  863 15:19:10.355930  <6>[    0.487726] usbcore: registered new interface driver hub
  864 15:19:10.356432  <6>[    0.493522] usbcore: registered new device driver usb
  865 15:19:10.366638  <6>[    0.499509] pps_core: LinuxPPS API ver. 1 registered
  866 15:19:10.379795  <6>[    0.504929] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
  867 15:19:10.380300  <6>[    0.514689] PTP clock support registered
  868 15:19:10.384635  <6>[    0.520365] clocksource: Switched to clocksource arch_sys_counter
  869 15:19:10.744206  <6>[    0.878006] NET: Registered protocol family 2
  870 15:19:10.756153  <6>[    0.882909] IP idents hash table entries: 16384 (order: 5, 131072 bytes, linear)
  871 15:19:10.756635  <6>[    0.891277] tcp_listen_portaddr_hash hash table entries: 512 (order: 0, 6144 bytes, linear)
  872 15:19:10.768719  <6>[    0.900229] TCP established hash table entries: 8192 (order: 3, 32768 bytes, linear)
  873 15:19:10.779786  <6>[    0.908571] TCP bind hash table entries: 8192 (order: 4, 65536 bytes, linear)
  874 15:19:10.780269  <6>[    0.916311] TCP: Hash tables configured (established 8192 bind 8192)
  875 15:19:10.790424  <6>[    0.923222] UDP hash table entries: 512 (order: 2, 16384 bytes, linear)
  876 15:19:10.802780  <6>[    0.930378] UDP-Lite hash table entries: 512 (order: 2, 16384 bytes, linear)
  877 15:19:10.803284  <6>[    0.938021] NET: Registered protocol family 1
  878 15:19:10.814588  <6>[    0.943028] RPC: Registered named UNIX socket transport module.
  879 15:19:10.815019  <6>[    0.949442] RPC: Registered udp transport module.
  880 15:19:10.815297  <6>[    0.954609] RPC: Registered tcp transport module.
  881 15:19:10.825909  <6>[    0.959758] RPC: Registered tcp NFSv4.1 backchannel transport module.
  882 15:19:10.826312  <6>[    0.966722] PCI: CLS 0 bytes, default 64
  883 15:19:10.831843  <6>[    0.971228] Trying to unpack rootfs image as initramfs...
  884 15:19:11.235194  <6>[    1.368362] Freeing initrd memory: 15660K
  885 15:19:11.247373  <6>[    1.373279] hw perfevents: enabled with armv7_cortex_a15 PMU driver, 7 counters available
  886 15:19:11.247889  <5>[    1.382523] Initialise system trusted keyrings
  887 15:19:11.262200  <6>[    1.387512] workingset: timestamp_bits=30 max_order=19 bucket_order=0
  888 15:19:11.262692  <6>[    1.396909] squashfs: version 4.0 (2009/01/31) Phillip Lougher
  889 15:19:11.273496  <5>[    1.403548] NFS: Registering the id_resolver key type
  890 15:19:11.273990  <5>[    1.409071] Key type id_resolver registered
  891 15:19:11.274409  <5>[    1.413689] Key type id_legacy registered
  892 15:19:11.285029  <6>[    1.418154] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
  893 15:19:11.290017  <6>[    1.425389] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
  894 15:19:11.303137  <6>[    1.433354] ntfs: driver 2.1.32 [Flags: R/O].
  895 15:19:11.303629  <5>[    1.438309] Key type asymmetric registered
  896 15:19:11.313827  <5>[    1.442872] Asymmetric key parser 'x509' registered
  897 15:19:11.314319  <6>[    1.448223] bounce: pool size: 64 pages
  898 15:19:11.325572  <6>[    1.452486] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 246)
  899 15:19:11.326001  <6>[    1.460440] io scheduler mq-deadline registered
  900 15:19:11.326358  <6>[    1.465408] io scheduler kyber registered
  901 15:19:11.343876  <6>[    1.480438] tegra-apbdma 60020000.dma: Tegra20 APB DMA driver registered 32 channels
  902 15:19:11.355801  <4>[    1.490519] tegra-pmc 7000e400.pmc: i2c-thermtrip node not found, emergency thermal reset disabled.
  903 15:19:11.380619  <6>[    1.518663] Serial: 8250/16550 driver, 5 ports, IRQ sharing enabled
  904 15:19:11.392644  <6>[    1.526966] printk: console [ttyS0] disabled
  905 15:19:11.404570  <6>[    1.531706] 70006000.serial: ttyS0 at MMIO 0x70006000 (irq = 80, base_baud = 25500000) is a Tegra
  906 15:19:11.405181  <6>[    1.541209] printk: console [ttyS0] enabled
  907 15:19:11.415716  <6>[    1.541209] printk: console [ttyS0] enabled
  908 15:19:11.416207  <6>[    1.550217] printk: bootconsole [uart0] disabled
  909 15:19:11.416621  <6>[    1.550217] printk: bootconsole [uart0] disabled
  910 15:19:11.427618  <6>[    1.560562] SuperH (H)SCI(F) driver initialized
  911 15:19:11.428125  <6>[    1.565694] msm_serial: driver initialized
  912 15:19:11.436105  <6>[    1.570103] STMicroelectronics ASC driver initialized
  913 15:19:11.436598  <6>[    1.576131] STM32 USART driver initialized
  914 15:19:11.441780  <6>[    1.581800] platform 54200000.dc: Adding to iommu group 2
  915 15:19:11.455417  <6>[    1.588344] platform 54240000.dc: Adding to iommu group 2
  916 15:19:11.460733  <6>[    1.595544] platform 54340000.vic: Adding to iommu group 2
  917 15:19:11.466338  <4>[    1.604031] Failed to attached device 54200000.dc to IOMMU_mapping
  918 15:19:11.480919  <4>[    1.618606] Failed to attached device 54240000.dc to IOMMU_mapping
  919 15:19:11.495939  <4>[    1.634113] Failed to attached device 54340000.vic to IOMMU_mapping
  920 15:19:11.502558  <6>[    1.644379] brd: module loaded
  921 15:19:11.508637  <6>[    1.650714] loop: module loaded
  922 15:19:11.519667  <6>[    1.659399] spi-nor spi1.0: w25q32dw (4096 Kbytes)
  923 15:19:11.533100  <6>[    1.666485] CAN device driver interface
  924 15:19:11.533583  <6>[    1.670934] bgmac_bcma: Broadcom 47xx GBit MAC driver loaded
  925 15:19:11.538349  <6>[    1.677256] e1000e: Intel(R) PRO/1000 Network Driver
  926 15:19:11.550177  <6>[    1.682480] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
  927 15:19:11.550692  <6>[    1.688672] igb: Intel(R) Gigabit Ethernet Network Driver
  928 15:19:11.555303  <6>[    1.694326] igb: Copyright (c) 2007-2014 Intel Corporation.
  929 15:19:11.567353  <6>[    1.701753] pegasus: v0.9.3 (2013/04/25), Pegasus/Pegasus II USB Ethernet driver
  930 15:19:11.578503  <6>[    1.709411] usbcore: registered new interface driver pegasus
  931 15:19:11.579021  <6>[    1.715340] usbcore: registered new interface driver asix
  932 15:19:11.589320  <6>[    1.721009] usbcore: registered new interface driver ax88179_178a
  933 15:19:11.589807  <6>[    1.727361] usbcore: registered new interface driver cdc_ether
  934 15:19:11.600352  <6>[    1.733466] usbcore: registered new interface driver smsc75xx
  935 15:19:11.600902  <6>[    1.739475] usbcore: registered new interface driver smsc95xx
  936 15:19:11.611430  <6>[    1.745488] usbcore: registered new interface driver net1080
  937 15:19:11.622414  <6>[    1.751412] usbcore: registered new interface driver cdc_subset
  938 15:19:11.622934  <6>[    1.757593] usbcore: registered new interface driver zaurus
  939 15:19:11.634586  <6>[    1.763451] usbcore: registered new interface driver cdc_ncm
  940 15:19:11.635111  <6>[    1.770296] ehci_hcd: USB 2.0 'Enhanced' Host Controller (EHCI) Driver
  941 15:19:11.646329  <6>[    1.777078] ehci-pci: EHCI PCI platform driver
  942 15:19:11.646845  <6>[    1.781792] ehci-platform: EHCI generic platform driver
  943 15:19:11.647427  <6>[    1.787338] ehci-orion: EHCI orion driver
  944 15:19:11.657325  <6>[    1.791666] SPEAr-ehci: EHCI SPEAr driver
  945 15:19:11.657839  <6>[    1.795976] ehci-st: EHCI STMicroelectronics driver
  946 15:19:11.668751  <6>[    1.801165] ehci-exynos: EHCI Exynos driver
  947 15:19:11.669390  <6>[    1.805649] ehci-atmel: EHCI Atmel driver
  948 15:19:11.669965  <6>[    1.809956] tegra-ehci: Tegra EHCI driver
  949 15:19:11.679446  <6>[    1.814288] ohci_hcd: USB 1.1 'Open' Host Controller (OHCI) Driver
  950 15:19:11.679966  <6>[    1.820729] ohci-pci: OHCI PCI platform driver
  951 15:19:11.691413  <6>[    1.825437] ohci-platform: OHCI generic platform driver
  952 15:19:11.691827  <6>[    1.830982] SPEAr-ohci: OHCI SPEAr driver
  953 15:19:11.702966  <6>[    1.835294] ohci-st: OHCI STMicroelectronics driver
  954 15:19:11.703444  <6>[    1.840479] ohci-atmel: OHCI Atmel driver
  955 15:19:11.715384  <6>[    1.845014] usbcore: registered new interface driver usb-storage
  956 15:19:11.715904  <6>[    1.853410] tegra_rtc 7000e000.rtc: registered as rtc1
  957 15:19:11.724633  <5>[    1.858803] tegra_rtc 7000e000.rtc: Tegra internal Real Time Clock
  958 15:19:11.725272  <6>[    1.865462] i2c /dev entries driver
  959 15:19:11.737784  <4>[    1.871952] elan_i2c 1-0015: supply vcc not found, using dummy regulator
  960 15:19:11.743215  <6>[    1.879200] as3722 4-0040: AS3722 with revision 0x1 found
  961 15:19:11.755183  <6>[    1.889748] +1.35V_LP0(sd2): supplied by +5V_SYS
  962 15:19:11.755422  <6>[    1.894864] +1.35V_LP0(sd3): Bringing 0uV into 1350000-1350000uV
  963 15:19:11.767280  <6>[    1.901237] +1.35V_LP0(sd3): supplied by +5V_SYS
  964 15:19:11.767632  <6>[    1.906344] +1.05V_RUN: Bringing 0uV into 1050000-1050000uV
  965 15:19:11.776593  <6>[    1.912342] +1.05V_RUN: supplied by +5V_SYS
  966 15:19:11.777042  <6>[    1.916952] +1.8V_VDDIO: supplied by +5V_SYS
  967 15:19:11.788142  <6>[    1.922888] +1.05V_RUN_AVDD: supplied by +1.35V_LP0(sd2)
  968 15:19:11.801320  <6>[    1.929069] +1.8V_RUN_CAM: Bringing 0uV into 1800000-1800000uV
  969 15:19:11.801800  <6>[    1.935498] +1.2V_GEN_AVDD: supplied by +1.8V_VDDIO
  970 15:19:11.813576  <6>[    1.941489] +1.00V_LP0_VDD_RTC: Bringing 1100000uV into 1000000-1000000uV
  971 15:19:11.814918  <6>[    1.948632] +1.00V_LP0_VDD_RTC: supplied by +3.3V_SYS
  972 15:19:11.824286  <6>[    1.954784] +3.3V_RUN_CAM: Bringing 0uV into 2800000-2800000uV
  973 15:19:11.824832  <6>[    1.961054] +3.3V_RUN_CAM: supplied by +3.3V_SYS
  974 15:19:11.837010  <6>[    1.966092] +1.2V_RUN_CAM_FRONT: Bringing 0uV into 1200000-1200000uV
  975 15:19:11.837443  <6>[    1.972884] +1.2V_RUN_CAM_FRONT: supplied by +1.8V_VDDIO
  976 15:19:11.847384  <6>[    1.978617] +VDDIO_SDMMC3: Bringing 0uV into 1800000-1800000uV
  977 15:19:11.847865  <6>[    1.985040] +1.05V_RUN_CAM_REAR: Bringing 0uV into 1050000-1050000uV
  978 15:19:11.859387  <6>[    1.991837] +1.05V_RUN_CAM_REAR: supplied by +1.8V_VDDIO
  979 15:19:11.859905  <6>[    1.997577] +2.8V_RUN_TOUCH: Bringing 0uV into 2800000-2800000uV
  980 15:19:11.871489  <6>[    2.004170] +2.8V_RUN_TOUCH: supplied by +5V_SYS
  981 15:19:11.872006  <6>[    2.009207] +2.8V_RUN_CAM_AF: Bringing 0uV into 2800000-2800000uV
  982 15:19:11.882850  <6>[    2.015742] +2.8V_RUN_CAM_AF: supplied by +5V_SYS
  983 15:19:11.883368  <6>[    2.020872] +1.8V_RUN_VPP_FUSE: Bringing 0uV into 1800000-1800000uV
  984 15:19:11.894834  <6>[    2.028903] as3722-rtc as3722-rtc: registered as rtc0
  985 15:19:11.906145  <6>[    2.034498] as3722-rtc as3722-rtc: setting system clock to 2022-08-11T15:21:53 UTC (1660231313)
  986 15:19:11.916601  <6>[    2.040344] elan_i2c 1-0015: Elan Touchpad: Module ID: 0x002a, Firmware: 0x0005, Sample: 0x0007, IAP: 0x000b
  987 15:19:11.917249  <6>[    2.043454] as3722-rtc as3722-rtc: RTC interrupt 381
  988 15:19:11.921690  <6>[    2.053730] input: Elan Touchpad as /devices/soc0/7000c400.i2c/i2c-1/1-0015/input/input0
  989 15:19:11.932407  <4>[    2.071015] lm90 0-004c: supply vcc not found, using dummy regulator
  990 15:19:11.944511  <6>[    2.079142] hwmon hwmon0: temp1_input not attached to any thermal zone
  991 15:19:11.959030  <6>[    2.085983] hwmon hwmon0: temp2_input not attached to any thermal zone
  992 15:19:11.959552  <6>[    2.096013] sdhci: Secure Digital Host Controller Interface driver
  993 15:19:11.972126  <6>[    2.102458] sdhci: Copyright(c) Pierre Ossman
  994 15:19:11.972648  <6>[    2.107802] Synopsys Designware Multimedia Card Interface Driver
  995 15:19:11.982948  <6>[    2.114606] sdhci-pltfm: SDHCI platform and OF driver helper
  996 15:19:11.983592  <6>[    2.120988] sdhci-tegra 700b0000.mmc: allocated mmc-pwrseq
  997 15:19:11.995099  <6>[    2.121027] sdhci-tegra 700b0400.mmc: Got CD GPIO
  998 15:19:11.995541  <6>[    2.131705] sdhci-tegra 700b0400.mmc: Got WP GPIO
  999 15:19:12.005490  <4>[    2.135130] mmc2: Invalid maximum block size, assuming 512 bytes
 1000 15:19:12.006020  <6>[    2.136753] ledtrig-cpu: registered to indicate activity on CPUs
 1001 15:19:12.014462  <6>[    2.149472] usbcore: registered new interface driver usbhid
 1002 15:19:12.014982  <6>[    2.155301] usbhid: USB HID core driver
 1003 15:19:12.019866  <6>[    2.161242] NET: Registered protocol family 10
 1004 15:19:12.031508  <6>[    2.166276] Segment Routing with IPv6
 1005 15:19:12.031908  <6>[    2.170219] sit: IPv6, IPv4 and MPLS over IPv4 tunneling driver
 1006 15:19:12.042712  <6>[    2.174018] mmc2: SDHCI controller on 700b0600.mmc [700b0600.mmc] using ADMA 64-bit
 1007 15:19:12.053897  <6>[    2.176681] NET: Registered protocol family 17
 1008 15:19:12.054446  <6>[    2.188994] can: controller area network core
 1009 15:19:12.054864  <6>[    2.193634] NET: Registered protocol family 29
 1010 15:19:12.065515  <6>[    2.198329] can: raw protocol
 1011 15:19:12.066003  <6>[    2.201558] can: broadcast manager protocol
 1012 15:19:12.066417  <6>[    2.205999] can: netlink gateway - max_hops=1
 1013 15:19:12.076281  <5>[    2.210756] Key type dns_resolver registered
 1014 15:19:12.076700  <6>[    2.215781] ThumbEE CPU extension supported.
 1015 15:19:12.088157  <5>[    2.220308] Registering SWP/SWPB emulation handler
 1016 15:19:12.088637  <5>[    2.225569] Loading compiled-in X.509 certificates
 1017 15:19:12.093241  <6>[    2.231309] pstore: Using crash dump compression: deflate
 1018 15:19:12.114208  <6>[    2.246032] +3.3V_RUN: supplied by +3.3V_SYS
 1019 15:19:12.114800  <6>[    2.251180] +1.05V_RUN_AVDD_HDMI_PLL: supplied by +1.05V_RUN
 1020 15:19:12.119129  <6>[    2.258335] +3.3V_AVDD_HDMI_AP_GATED: supplied by +3.3V_RUN
 1021 15:19:12.130931  <6>[    2.264267] +1.8V_RUN_CAM: supplied by +3.3V_RUN
 1022 15:19:12.131546  <6>[    2.269204] +VDDIO_SDMMC3: supplied by +3.3V_RUN
 1023 15:19:12.143984  <6>[    2.274162] +1.8V_RUN_VPP_FUSE: supplied by +3.3V_RUN
 1024 15:19:12.144372  <6>[    2.279493] +3.3V_PANEL: supplied by +3.3V_RUN
 1025 15:19:12.156065  <6>[    2.285248] +3.3V_LP0: supplied by +3.3V_SYS
 1026 15:19:12.156544  <3>[    2.290831] elan_i2c 1-0015: failed to read report data: -121
 1027 15:19:12.161248  <3>[    2.297495]  usb2-0: usb-role-switch not found for otg mode
 1028 15:19:12.174238  <3>[    2.303400] tegra-xusb-padctl 7009f000.padctl: failed to setup XUSB ports: -22
 1029 15:19:12.174783  <6>[    2.311934] mmc2: new high speed MMC card at address 0001
 1030 15:19:12.185791  <4>[    2.312076] tegra-xusb-padctl: probe of 7009f000.padctl failed with error -22
 1031 15:19:12.186233  <6>[    2.318197] mmcblk2: mmc2:0001 HAG2e 14.7 GiB 
 1032 15:19:12.196869  <6>[    2.329981] mmcblk2boot0: mmc2:0001 HAG2e partition 1 4.00 MiB
 1033 15:19:12.207986  <6>[    2.336341] mmcblk2boot1: mmc2:0001 HAG2e partition 2 4.00 MiB
 1034 15:19:12.208508  <6>[    2.340199] sdhci-tegra 700b0000.mmc: allocated mmc-pwrseq
 1035 15:19:12.218891  <6>[    2.342086] input: gpio-keys as /devices/soc0/gpio-keys/input/input1
 1036 15:19:12.219472  <6>[    2.344315] sdhci-tegra 700b0400.mmc: Got CD GPIO
 1037 15:19:12.231250  <4>[    2.355057] mmc0: Invalid maximum block size, assuming 512 bytes
 1038 15:19:12.231726  <6>[    2.359970] sdhci-tegra 700b0400.mmc: Got WP GPIO
 1039 15:19:12.236135  <6>[    2.371412] mmcblk2rpmb: mmc2:0001 HAG2e partition 3 4.00 MiB, chardev (234:0)
 1040 15:19:12.245527  <4>[    2.383586] mmc1: Invalid maximum block size, assuming 512 bytes
 1041 15:19:12.250397  <6>[    2.392190]  mmcblk2: p1 p2 p3 p4 p5
 1042 15:19:12.263262  <6>[    2.400392] mmc0: SDHCI controller on 700b0000.mmc [700b0000.mmc] using ADMA 64-bit
 1043 15:19:12.294707  <6>[    2.428680] mmc1: SDHCI controller on 700b0400.mmc [700b0400.mmc] using ADMA 64-bit
 1044 15:19:12.300139  <3>[    2.436905] elan_i2c 1-0015: invalid report id data (ff)
 1045 15:19:12.342794  <6>[    2.481743] mmc0: new high speed SDIO card at address 0001
 1046 15:19:24.796179  <6>[   14.931783] Freeing unused kernel memory: 2048K
 1047 15:19:24.796775  <6>[   14.936778] Run /init as init process
 1048 15:19:24.825371  Starting syslogd: OK
 1049 15:19:24.825742  Starting klogd: OK
 1050 15:19:24.847082  Running sysctl: OK
 1051 15:19:24.847406  Populating /dev using udev: <30>[   14.983864] udevd[135]: starting version 3.2.9
 1052 15:19:24.857628  <5>[   14.988999] random: udevd: uninitialized urandom read (16 bytes read)
 1053 15:19:24.857859  <5>[   14.995942] random: udevd: uninitialized urandom read (16 bytes read)
 1054 15:19:24.864592  <5>[   15.002808] random: udevd: uninitialized urandom read (16 bytes read)
 1055 15:19:24.878485  <27>[   15.012641] udevd[135]: specified user 'tss' unknown
 1056 15:19:24.878714  <27>[   15.018004] udevd[135]: specified group 'tss' unknown
 1057 15:19:24.883618  <30>[   15.024706] udevd[136]: starting eudev-3.2.9
 1058 15:19:24.918164  <27>[   15.052337] udevd[136]: specified user 'tss' unknown
 1059 15:19:24.918397  <27>[   15.057698] udevd[136]: specified group 'tss' unknown
 1060 15:19:25.082332  <6>[   15.218981] tegra-wdt 60005000.timer: initialized (heartbeat = 120 sec, nowayout = 0)
 1061 15:19:25.109644  <6>[   15.243094] nouveau 57000000.gpu: NVIDIA GK20A (0ea000a1)
 1062 15:19:25.109904  <6>[   15.248800] nouveau 57000000.gpu: imem: using IOMMU
 1063 15:19:25.114929  <3>[   15.254044] nouveau 57000000.gpu: gr ctor failed, -2
 1064 15:19:25.141472  <4>[   15.279841] nouveau: probe of 57000000.gpu failed with error -2
 1065 15:19:25.159159  <6>[   15.296928] spi_master spi0: will run message pump with realtime priority
 1066 15:19:25.178808  <6>[   15.317318] tpm_i2c_infineon 2-0020: 1.2 TPM (device-id 0x1A)
 1067 15:19:25.202478  <6>[   15.342041] cros-ec-spi spi0.0: Chrome EC device registered
 1068 15:19:25.229148  <6>[   15.364696] input: tegra-hda HDMI/DP,pcm=3 as /devices/soc0/70030000.hda/sound/card0/input2
 1069 15:19:25.272913  <6>[   15.407834] Bluetooth: Core ver 2.22
 1070 15:19:25.284301  <5>[   15.411456] cfg80211: Loading compiled-in X.509 certificates for regulatory database
 1071 15:19:25.284544  <6>[   15.411830] NET: Registered protocol family 31
 1072 15:19:25.296673  <6>[   15.424444] Bluetooth: HCI device and connection manager initialized
 1073 15:19:25.297042  <6>[   15.431108] Bluetooth: HCI socket layer initialized
 1074 15:19:25.301692  <6>[   15.436317] Bluetooth: L2CAP socket layer initialized
 1075 15:19:25.302049  <6>[   15.441701] Bluetooth: SCO socket layer initialized
 1076 15:19:25.323568  <3>[   15.459207] tegra-snd-max98090 sound: snd_soc_register_card failed (-517)
 1077 15:19:25.343470  <6>[   15.481594] Bluetooth: vendor=0x2df, device=0x912e, class=255, fn=2
 1078 15:19:25.383119  <5>[   15.517519] cfg80211: Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
 1079 15:19:25.394287  <4>[   15.524587] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
 1080 15:19:25.394523  <6>[   15.533513] cfg80211: failed to load regulatory.db
 1081 15:19:25.412061  <4>[   15.546341] mwifiex_sdio mmc0:0001:1: Direct firmware load for mrvl/sd8897_uapsta.bin failed with error -2
 1082 15:19:25.427073  <6>[   15.556306] mwifiex_sdio mmc0:0001:1: Failed to get firmware mrvl/sd8897_uapsta.bin
 1083 15:19:25.427307  <6>[   15.564262] mwifiex_sdio mmc0:0001:1: info: _mwifiex_fw_dpc: unregister device
 1084 15:19:25.437499  <3>[   15.574903] tegra-snd-max98090 sound: snd_soc_register_card failed (-517)
 1085 15:19:25.466167  <4>[   15.600469] btmrvl_sdio mmc0:0001:2: Direct firmware load for mrvl/sd8897_uapsta.bin failed with error -2
 1086 15:19:25.476684  <6>[   15.609553] input: cros_ec as /devices/soc0/7000d400.spi/spi_master/spi0/spi0.0/7000d400.spi:cros-ec@0:keyboard-controller/input/input3
 1087 15:19:25.487742  <3>[   15.610331] Bluetooth: request_firmware(firmware) failed, error code = -2
 1088 15:19:25.499213  <4>[   15.612820] tegra30-i2s 70301100.i2s: DMA channels sourced from device 70300000.ahub
 1089 15:19:25.499448  <4>[   15.627763] cros-ec-dev cros-ec-dev.1.auto: cannot get EC features: -95/1
 1090 15:19:25.509667  <3>[   15.629804] Bluetooth: Failed to download firmware!
 1091 15:19:25.509900  <3>[   15.629813] Bluetooth: Downloading firmware failed!
 1092 15:19:25.521609  <6>[   15.650894] max98090 0-0010: MAX98090 REVID=0x43
 1093 15:19:25.529688  <6>[   15.652721] power_supply sbs-6-000b: Not all required supplies found, defer probe
 1094 15:19:25.530009  <3>[   15.652729] sbs-battery 6-000b: sbs_probe: Failed to register power supply
 1095 15:19:25.539024  <6>[   15.678828] max98090 0-0010: use default 2.8v micbias
 1096 15:19:25.553425  <6>[   15.688125] input: GoogleNyanBig Headphones as /devices/soc0/sound/sound/card1/input4
 1097 15:19:25.558664  <6>[   15.696443] input: GoogleNyanBig Mic Jack as /devices/soc0/sound/sound/card1/input5
 1098 15:19:25.579735  <6>[   15.714641] power_supply sbs-6-000b: Not all required supplies found, defer probe
 1099 15:19:25.584953  <3>[   15.722392] sbs-battery 6-000b: sbs_probe: Failed to register power supply
 1100 15:19:26.558054  done
 1101 15:19:26.572565  Saving random seed: <5>[   16.710276] random: dd: uninitialized urandom read (32 bytes read)
 1102 15:19:26.572813  OK
 1103 15:19:26.593202  Starting network: OK
 1104 15:19:26.614523  Starting dropbear sshd: <5>[   16.752617] random: dropbear: uninitialized urandom read (32 bytes read)
 1105 15:19:26.619704  OK
 1106 15:19:26.628523  /bin/sh: can't access tty; job control turned off
 1107 15:19:26.630327  Matched prompt #7: / #
 1109 15:19:26.630958  Setting prompt string to ['/ #']
 1110 15:19:26.631232  end: 2.2.5.1 login-action (duration 00:00:17) [common]
 1112 15:19:26.631809  end: 2.2.5 auto-login-action (duration 00:00:17) [common]
 1113 15:19:26.632069  start: 2.2.6 expect-shell-connection (timeout 00:03:56) [common]
 1114 15:19:26.632263  Setting prompt string to ['/ #']
 1115 15:19:26.632446  Forcing a shell prompt, looking for ['/ #']
 1117 15:19:26.683148  / # 
 1118 15:19:26.683691  expect-shell-connection: Wait for prompt ['/ #'] (timeout 00:05:00)
 1119 15:19:26.684111  Waiting using forced prompt support (timeout 00:02:30)
 1120 15:19:26.692455  
 1121 15:19:26.693001  end: 2.2.6 expect-shell-connection (duration 00:00:00) [common]
 1122 15:19:26.693776  start: 2.2.7 export-device-env (timeout 00:03:56) [common]
 1123 15:19:26.694191  end: 2.2.7 export-device-env (duration 00:00:00) [common]
 1124 15:19:26.694515  end: 2.2 depthcharge-retry (duration 00:01:04) [common]
 1125 15:19:26.694767  end: 2 depthcharge-action (duration 00:01:04) [common]
 1126 15:19:26.695040  start: 3 lava-test-retry (timeout 00:01:00) [common]
 1127 15:19:26.695310  start: 3.1 lava-test-shell (timeout 00:01:00) [common]
 1128 15:19:26.695532  Using namespace: common
 1130 15:19:26.796650  / # #
 1131 15:19:26.797236  lava-test-shell: Wait for prompt ['/ #'] (timeout 00:01:00)
 1132 15:19:26.804777  #
 1133 15:19:26.805245  Using /lava-7015492
 1135 15:19:26.906336  / # export SHELL=/bin/sh
 1136 15:19:26.917143  export SHELL=/bin/sh
 1138 15:19:27.018655  / # . /lava-7015492/environment
 1139 15:19:27.030855  . /lava-7015492/environment
 1141 15:19:27.132162  / # /lava-7015492/bin/lava-test-runner /lava-7015492/0
 1142 15:19:27.132560  Test shell timeout: 10s (minimum of the action and connection timeout)
 1143 15:19:27.142969  /lava-7015492/bin/lava-test-runner /lava-7015492/0
 1144 15:19:27.185419  + export 'TESTRUN_ID=0_dmesg'
 1145 15:19:27.185649  + cd /lava-7015492/0/tests/0_dmesg
 1146 15:19:27.185844  + cat uuid
 1147 15:19:27.186033  <8>[   17.315020] <LAVA_SIGNAL_STARTRUN 0_dmesg 7015492_1.5.2.3.1>
 1148 15:19:27.186219  + UUID=7015492_1.5.2.3.1
 1149 15:19:27.186402  + set +x
 1150 15:19:27.187055  Received signal: <STARTRUN> 0_dmesg 7015492_1.5.2.3.1
 1151 15:19:27.187377  Starting test lava.0_dmesg (7015492_1.5.2.3.1)
 1152 15:19:27.187691  Skipping test definition patterns.
 1153 15:19:27.188198  + KERNELCI_LAVA=y /bin/sh /opt/kernelci/dmesg.sh
 1154 15:19:27.207142  <8>[   17.342794] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=crit RESULT=pass UNITS=lines MEASUREMENT=0>
 1155 15:19:27.207656  Received signal: <TESTCASE> TEST_CASE_ID=crit RESULT=pass UNITS=lines MEASUREMENT=0
 1157 15:19:27.231108  <8>[   17.367307] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=alert RESULT=pass UNITS=lines MEASUREMENT=0>
 1158 15:19:27.231560  Received signal: <TESTCASE> TEST_CASE_ID=alert RESULT=pass UNITS=lines MEASUREMENT=0
 1160 15:19:27.258381  <8>[   17.394182] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=emerg RESULT=pass UNITS=lines MEASUREMENT=0>
 1161 15:19:27.258892  Received signal: <TESTCASE> TEST_CASE_ID=emerg RESULT=pass UNITS=lines MEASUREMENT=0
 1163 15:19:27.267428  + set +x
 1164 15:19:27.267672  <8>[   17.404809] <LAVA_SIGNAL_ENDRUN 0_dmesg 7015492_1.5.2.3.1>
 1165 15:19:27.268214  Received signal: <ENDRUN> 0_dmesg 7015492_1.5.2.3.1
 1166 15:19:27.268540  Ending use of test pattern.
 1167 15:19:27.268837  Ending test lava.0_dmesg (7015492_1.5.2.3.1), duration 0.08
 1169 15:19:27.272474  <LAVA_TEST_RUNNER EXIT>
 1170 15:19:27.272980  ok: lava_test_shell seems to have completed
 1171 15:19:27.273517  alert: pass
crit: pass
emerg: pass

 1172 15:19:27.273818  end: 3.1 lava-test-shell (duration 00:00:01) [common]
 1173 15:19:27.274121  end: 3 lava-test-retry (duration 00:00:01) [common]
 1174 15:19:27.274456  start: 4 lava-test-retry (timeout 00:01:00) [common]
 1175 15:19:27.274766  start: 4.1 lava-test-shell (timeout 00:01:00) [common]
 1176 15:19:27.275016  Using namespace: common
 1178 15:19:27.376176  / # #
 1179 15:19:27.376658  lava-test-shell: Wait for prompt ['/ #'] (timeout 00:01:00)
 1180 15:19:27.377001  Using /lava-7015492
 1182 15:19:27.478288  export SHELL=/bin/sh
 1183 15:19:27.478851  #
 1185 15:19:27.580317  / # export SHELL=/bin/sh. /lava-7015492/environment
 1186 15:19:27.580841  
 1188 15:19:27.682178  / # . /lava-7015492/environment/lava-7015492/bin/lava-test-runner /lava-7015492/1
 1189 15:19:27.682574  Test shell timeout: 10s (minimum of the action and connection timeout)
 1190 15:19:27.682898  
 1191 15:19:27.693730  / # /lava-7015492/bin/lava-test-runner /lava-7015492/1
 1192 15:19:27.737563  + export 'TESTRUN_ID=1_bootrr'
 1193 15:19:27.737823  + cd /lava-7015492/1/tests/1_bootrr
 1194 15:19:27.738044  + cat uuid
 1195 15:19:27.744530  + UUID=701<8>[   17.872413] <LAVA_SIGNAL_STARTRUN 1_bootrr 7015492_1.5.2.3.5>
 1196 15:19:27.744773  5492_1.5.2.3.5
 1197 15:19:27.744970  + set +x
 1198 15:19:27.745498  Received signal: <STARTRUN> 1_bootrr 7015492_1.5.2.3.5
 1199 15:19:27.745697  Starting test lava.1_bootrr (7015492_1.5.2.3.5)
 1200 15:19:27.745922  Skipping test definition patterns.
 1201 15:19:27.750099  + export 'PATH=/opt/bootrr/helpers:/lava-7015492/1/../bin:/sbin:/usr/sbin:/bin:/usr/bin'
 1202 15:19:27.750356  + cd /opt/bootrr
 1203 15:19:27.750574  + sh helpers/bootrr-auto
 1204 15:19:28.764256  /lava-7015492/1/../bin/lava-test-case
 1205 15:19:28.792229  <8>[   18.929192] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=deferred-probe-empty RESULT=pass>
 1206 15:19:28.792697  Received signal: <TESTCASE> TEST_CASE_ID=deferred-probe-empty RESULT=pass
 1208 15:19:28.854185  /lava-7015492/1/../bin/lava-test-case
 1209 15:19:28.882263  <8>[   19.019139] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=all-cpus-are-online RESULT=pass>
 1210 15:19:28.882783  Received signal: <TESTCASE> TEST_CASE_ID=all-cpus-are-online RESULT=pass
 1212 15:19:28.915335  /usr/bin/tpm2_getcap
 1213 15:19:28.984344  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1214 15:19:28.995569  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1215 15:19:28.995795  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1216 15:19:29.005477  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1217 15:19:29.005766  ERROR: Unable to run tpm2_getcap
 1218 15:19:30.119362  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1219 15:19:30.130099  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1220 15:19:30.141246  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1221 15:19:30.150253  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1222 15:19:30.150499  ERROR: Unable to run tpm2_getcap
 1223 15:19:31.237456  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1224 15:19:31.249491  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1225 15:19:31.260038  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1226 15:19:31.268425  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1227 15:19:31.268694  ERROR: Unable to run tpm2_getcap
 1228 15:19:32.320346  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1229 15:19:32.330524  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1230 15:19:32.342774  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1231 15:19:32.351677  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1232 15:19:32.351919  ERROR: Unable to run tpm2_getcap
 1233 15:19:33.411426  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1234 15:19:33.422173  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1235 15:19:33.432813  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1236 15:19:33.441041  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1237 15:19:33.441355  ERROR: Unable to run tpm2_getcap
 1238 15:19:34.590563  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1239 15:19:34.605251  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1240 15:19:34.619035  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1241 15:19:34.619532  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1242 15:19:34.623018  ERROR: Unable to run tpm2_getcap
 1243 15:19:35.720700  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1244 15:19:35.731585  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1245 15:19:35.742286  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1246 15:19:35.752028  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1247 15:19:35.752360  ERROR: Unable to run tpm2_getcap
 1248 15:19:36.873161  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1249 15:19:36.883994  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1250 15:19:36.895014  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1251 15:19:36.905184  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1252 15:19:36.905480  ERROR: Unable to run tpm2_getcap
 1253 15:19:38.052940  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1254 15:19:38.063273  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1255 15:19:38.074666  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1256 15:19:38.083827  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1257 15:19:38.084055  ERROR: Unable to run tpm2_getcap
 1258 15:19:39.264227  ERROR:sys:src/tss2-sys/api/Tss2_Sys_Execute.c:114:Tss2_Sys_ExecuteFinish() Unsupported device. The device is a TPM 1.2 
 1259 15:19:39.275869  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:307:Esys_GetCapability_Finish() Received a non-TPM Error 
 1260 15:19:39.286837  ERROR:esys:src/tss2-esys/api/Esys_GetCapability.c:107:Esys_GetCapability() Esys Finish ErrorCode (0x00080001) 
 1261 15:19:39.294630  ERROR: Esys_GetCapability(0x80001) - sys:Catch all for all errors not otherwise specified
 1262 15:19:39.294887  ERROR: Unable to run tpm2_getcap
 1263 15:19:40.317258  /lava-7015492/1/../bin/lava-test-case
 1264 15:19:40.393280  <8>[   30.527019] <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=tpm-chip-is-online RESULT=fail>
 1265 15:19:40.393951  Received signal: <TESTCASE> TEST_CASE_ID=tpm-chip-is-online RESULT=fail
 1267 15:19:40.438134  + set +x
 1268 15:19:40.438510  <8>[   30.576072] <LAVA_SIGNAL_ENDRUN 1_bootrr 7015492_1.5.2.3.5>
 1269 15:19:40.439027  Received signal: <ENDRUN> 1_bootrr 7015492_1.5.2.3.5
 1270 15:19:40.439271  Ending use of test pattern.
 1271 15:19:40.439490  Ending test lava.1_bootrr (7015492_1.5.2.3.5), duration 12.69
 1273 15:19:40.442973  <LAVA_TEST_RUNNER EXIT>
 1274 15:19:40.443488  ok: lava_test_shell seems to have completed
 1275 15:19:40.443831  all-cpus-are-online: pass
deferred-probe-empty: pass
tpm-chip-is-online: fail

 1276 15:19:40.444139  end: 4.1 lava-test-shell (duration 00:00:13) [common]
 1277 15:19:40.444475  end: 4 lava-test-retry (duration 00:00:13) [common]
 1278 15:19:40.444793  start: 5 finalize (timeout 00:08:36) [common]
 1279 15:19:40.445136  start: 5.1 power-off (timeout 00:00:30) [common]
 1280 15:19:40.445623  Calling: 'nice' 'pduclient' '--daemon=tumbleweed' '--hostname=tegra124-nyan-big-cbg-0' '--command=off' '--port=1'
 1281 15:19:40.512962  >> Command sent successfully.

 1282 15:19:40.516955  Returned 0 in 0 seconds
 1283 15:19:40.618617  end: 5.1 power-off (duration 00:00:00) [common]
 1285 15:19:40.619798  start: 5.2 read-feedback (timeout 00:08:35) [common]
 1286 15:19:40.620603  Listened to connection for namespace 'common' for up to 1s
 1287 15:19:41.625355  Finalising connection for namespace 'common'
 1288 15:19:41.625810  Disconnecting from shell: Finalise
 1289 15:19:41.626035  / # 
 1290 15:19:41.727149  end: 5.2 read-feedback (duration 00:00:01) [common]
 1291 15:19:41.727614  end: 5 finalize (duration 00:00:01) [common]
 1292 15:19:41.727870  Cleaning after the job
 1293 15:19:41.728141  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/ramdisk
 1294 15:19:41.731014  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/kernel
 1295 15:19:41.732856  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/dtb
 1296 15:19:41.733683  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/7015492/tftp-deploy-23b3q75f/modules
 1297 15:19:41.737765  Override tmp directory removed at /var/lib/lava/dispatcher/tmp/7015492
 1298 15:19:41.823543  Root tmp directory removed at /var/lib/lava/dispatcher/tmp/7015492
 1299 15:19:41.824008  Job finished correctly