Trying 192.168.56.175... Connected to arpeggi. Escape character is '^]'. ser2net port telnet,3001 device serialdev, /dev/serial/by-path/platform-1c1c000.usb-usb-0:1:1.3-port0, 115200n81, local=false [,115200N81] (Debian GNU/Linux)  U-Boot SPL 2022.04-6.3.0+git.c71ae7141f30 (May 15 2023 - 16:20:01 +0000) DDRINFO: start DRAM init DDRINFO: DRAM rate 4000MTS Training FAILED DDRINFO: start DRAM init DDRINFO: DRAM rate 4000MTS DDRINFO:ddrphy calibration done DDRINFO: ddrmix config done DDR configured as single rank SEC0: RNG instantiated Normal Boot WDT: Started watchdog@30280000 with servicing (60s timeout) Trying to boot from BOOTROM Boot Stage: Primary boot Find img info 0x&4802d800, size 888 Download 916480, Total size 917440 NOTICE: BL31: v2.6(release):lf_v2.6-g3c1583ba0a NOTICE: BL31: Built : 11:00:38, Nov 21 2022 U-Boot 2022.04-6.3.0+git.c71ae7141f30 (May 15 2023 - 16:20:01 +0000) CPU: i.MX8MP[8] rev1.1 1600 MHz (running at 1200 MHz) CPU: Industrial temperature grade (-40C to 105C) at 42C Reset cause: POR DRAM: 4 GiB Core: 89 devices, 23 uclasses, devicetree: separate WDT: Started watchdog@30280000 with servicing (60s timeout) MMC: FSL_SDHC: 1, FSL_SDHC: 2 Loading Environment from MMC... OK In: serial@30880000 Out: serial@30880000 Err: serial@30880000 Model: Toradex 0063 Verdin iMX8M Plus Quad 4GB IT V1.1A Serial#: 15034401 Carrier: Toradex Dahlia V1.1C, Serial# 11080179 SEC0: RNG instantiated BuildInfo: - ATF 3c1583b Net: eth1: ethernet@30be0000, eth0: ethernet@30bf0000 [PRIME] Normal Boot Hit any key to stop autoboot: 1  0 Verdin iMX8MP # setenv autoload no setenv autoload no Verdin iMX8MP # dhcp dhcp ethernet@30bf0000 Waiting for PHY auto negotiation to complete....... done BOOTP broadcast 1 BOOTP broadcast 2 BOOTP broadcast 3 BOOTP broadcast 4 BOOTP broadcast 5 *** Unhandled DHCP Option in OFFER/ACK: 42 *** Unhandled DHCP Option in OFFER/ACK: 42 DHCP client bound to address 192.168.56.51 (3828 ms) Verdin iMX8MP # setenv serverip 192.168.56.193 setenv serverip 192.168.56.193 Verdin iMX8MP # tftp 0x40400000 681567/tftp-deploy-maxmfc3d/kernel/Image tftp 0x40400000 681567/tftp-deploy-maxmfc3d/kernel/Image Using ethernet@30bf0000 device TFTP from server 192.168.56.193; our IP address is 192.168.56.51 Filename '681567/tftp-deploy-maxmfc3d/kernel/Image'. Load address: 0x40400000 Loading: *################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ## 30.1 MiB/s done Bytes transferred = 45308416 (2b35a00 hex) Verdin iMX8MP # tftp 0x45000000 681567/tftp-deploy-maxmfc3d/ramdisk/ramdisk.cpio.gz.uboot tftp 0x45000000 681567/tftp-deploy-maxmfc3d/ramdisk/ramdisk.cpio.gz.uboot Using ethernet@30bf0000 device TFTP from server 192.168.56.193; our IP address is 192.168.56.51 Filename '681567/tftp-deploy-maxmfc3d/ramdisk/ramdisk.cpio.gz.uboot'. Load address: 0x45000000 Loading: *################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################################# ################################################ 29.8 MiB/s done Bytes transferred = 23245610 (162b32a hex) Verdin iMX8MP # setenv initrd_size ${filesize} setenv initrd_size ${filesize} Verdin iMX8MP # tftp 0x44000000 681567/tftp-deploy-maxmfc3d/dtb/imx8mp-verdin-nonwifi-dahlia.dtb tftp 0x44000000 681567/tftp-deploy-maxmfc3d/dtb/imx8mp-verdin-nonwifi-dahlia.dtb Using ethernet@30bf0000 device TFTP from server 192.168.56.193; our IP address is 192.168.56.51 Filename '681567/tftp-deploy-maxmfc3d/dtb/imx8mp-verdin-nonwifi-dahlia.dtb'. Load address: 0x44000000 Loading: *## 12 MiB/s done Bytes transferred = 63139 (f6a3 hex) Verdin iMX8MP # setenv bootargs 'console=ttymxc2,115200 earlycon,115200n8 root=/dev/nfs rw nfsroot=192.168.56.193:/var/lib/lava/dispatcher/tmp/681567/extract-nfsrootfs-6cbdte9k,tcp,hard,v3 console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp' setenv bootargs 'console=ttymxc2,115200 earlycon,115200n8 root=/dev/nfs rw nfsroot=192.168.56.193:/var/lib/lava/dispatcher/tmp/681567/extract-nfsrootfs-6cbdte9k,tcp,hard,v3 console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp' Verdin iMX8MP # booti 0x40400000 0x45000000 0x44000000 booti 0x40400000 0x45000000 0x44000000 ## Loading init Ramdisk from Legacy Image at 45000000 ... Image Name: Image Type: AArch64 Linux RAMDisk Image (uncompressed) Data Size: 23245546 Bytes = 22.2 MiB Load Address: 00000000 Entry Point: 00000000 Verifying Checksum ... OK ## Flattened Device Tree blob at 44000000 Booting using the fdt blob at 0x44000000 Loading Device Tree to 00000000ffaf1000, end 00000000ffb036a2 ... OK Starting kernel ... [ 0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034] [ 0.000000] Linux version 6.11.0-rc5 (KernelCI@build-j302873-arm64-gcc-12-defconfig-mzjxc) (aarch64-linux-gnu-gcc (Debian 12.2.0-14) 12.2.0, GNU ld (GNU Binutils for Debian) 2.40) #1 SMP PREEMPT Sat Aug 31 04:48:52 UTC 2024 [ 0.000000] KASLR disabled due to lack of seed [ 0.000000] Machine model: Toradex Verdin iMX8M Plus on Dahlia Board [ 0.000000] efi: UEFI not found. [ 0.000000] earlycon: ec_imx6q0 at MMIO 0x0000000030880000 (options '') [ 0.000000] printk: legacy bootconsole [ec_imx6q0] enabled [ 0.000000] NUMA: No NUMA configuration found [ 0.000000] NUMA: Faking a node at [mem 0x0000000040000000-0x000000013fffffff] [ 0.000000] NUMA: NODE_DATA [mem 0x13f7aa9c0-0x13f7acfff] [ 0.000000] Zone ranges: [ 0.000000] DMA [mem 0x0000000040000000-0x00000000ffffffff] [ 0.000000] DMA32 empty [ 0.000000] Normal [mem 0x0000000100000000-0x000000013fffffff] [ 0.000000] Movable zone start for each node [ 0.000000] Early memory node ranges [ 0.000000] node 0: [mem 0x0000000040000000-0x000000013fffffff] [ 0.000000] Initmem setup node 0 [mem 0x0000000040000000-0x000000013fffffff] [ 0.000000] cma: Reserved 32 MiB at 0x00000000fda00000 on node -1 [ 0.000000] psci: probing for conduit method from DT. [ 0.000000] psci: PSCIv1.1 detected in firmware. [ 0.000000] psci: Using standard PSCI v0.2 function IDs [ 0.000000] psci: MIGRATE_INFO_TYPE not supported. [ 0.000000] psci: SMC Calling Convention v1.2 [ 0.000000] percpu: Embedded 24 pages/cpu s60056 r8192 d30056 u98304 [ 0.000000] Detected VIPT I-cache on CPU0 [ 0.000000] CPU features: detected: GIC system register CPU interface [ 0.000000] CPU features: detected: ARM erratum 845719 [ 0.000000] alternatives: applying boot alternatives [ 0.000000] Kernel command line: console=ttymxc2,115200 earlycon,115200n8 root=/dev/nfs rw nfsroot=192.168.56.193:/var/lib/lava/dispatcher/tmp/681567/extract-nfsrootfs-6cbdte9k,tcp,hard,v3 console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp <5>[ 0.000000] Unknown kernel command line parameters "earlycon,115200n8", will be passed to user space. <6>[ 0.000000] Dentry cache hash table entries: 524288 (order: 10, 4194304 bytes, linear) <6>[ 0.000000] Inode-cache hash table entries: 262144 (order: 9, 2097152 bytes, linear) <6>[ 0.000000] Fallback order for Node 0: 0 <6>[ 0.000000] Built 1 zonelists, mobility grouping on. Total pages: 1048576 <6>[ 0.000000] Policy zone: Normal <6>[ 0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off <6>[ 0.000000] software IO TLB: area num 4. <6>[ 0.000000] software IO TLB: mapped [mem 0x00000000f9a00000-0x00000000fda00000] (64MB) <6>[ 0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1 <6>[ 0.000000] rcu: Preemptible hierarchical RCU implementation. <6>[ 0.000000] rcu: RCU event tracing is enabled. <6>[ 0.000000] rcu: RCU restricting CPUs from NR_CPUS=512 to nr_cpu_ids=4. <6>[ 0.000000] Trampoline variant of Tasks RCU enabled. <6>[ 0.000000] Tracing variant of Tasks RCU enabled. <6>[ 0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies. <6>[ 0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4 <6>[ 0.000000] RCU Tasks: Setting shift to 2 and lim to 1 rcu_task_cb_adjust=1. <6>[ 0.000000] RCU Tasks Trace: Setting shift to 2 and lim to 1 rcu_task_cb_adjust=1. <6>[ 0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0 <6>[ 0.000000] GICv3: GIC: Using split EOI/Deactivate mode <6>[ 0.000000] GICv3: 160 SPIs implemented <6>[ 0.000000] GICv3: 0 Extended SPIs implemented <6>[ 0.000000] Root IRQ handler: gic_handle_irq <6>[ 0.000000] GICv3: GICv3 features: 16 PPIs <6>[ 0.000000] GICv3: GICD_CTRL.DS=0, SCR_EL3.FIQ=1 <6>[ 0.000000] GICv3: CPU0: found redistributor 0 region 0:0x0000000038880000 <4>[ 0.000000] ITS: No ITS available, not enabling LPIs <6>[ 0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention. <6>[ 0.000000] arch_timer: cp15 timer(s) running at 8.00MHz (phys). <6>[ 0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x1d854df40, max_idle_ns: 440795202120 ns <6>[ 0.000000] sched_clock: 56 bits at 8MHz, resolution 125ns, wraps every 2199023255500ns <6>[ 0.010437] Console: colour dummy device 80x25 <6>[ 0.013113] Calibrating delay loop (skipped), value calculated using timer frequency.. 16.00 BogoMIPS (lpj=32000) <6>[ 0.023633] pid_max: default: 32768 minimum: 301 <6>[ 0.028593] LSM: initializing lsm=capability <6>[ 0.033163] Mount-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) <6>[ 0.040789] Mountpoint-cache hash table entries: 8192 (order: 4, 65536 bytes, linear) <6>[ 0.050755] rcu: Hierarchical SRCU implementation. <6>[ 0.054010] rcu: Max phase no-delay instances is 1000. <6>[ 0.059687] Timer migration: 1 hierarchy levels; 8 children per group; 1 crossnode level <6>[ 0.071597] EFI services will not be available. <6>[ 0.073783] smp: Bringing up secondary CPUs ... <6>[ 0.078818] Detected VIPT I-cache on CPU1 <6>[ 0.078880] GICv3: CPU1: found redistributor 1 region 0:0x00000000388a0000 <6>[ 0.078913] CPU1: Booted secondary processor 0x0000000001 [0x410fd034] <6>[ 0.079477] Detected VIPT I-cache on CPU2 <6>[ 0.079520] GICv3: CPU2: found redistributor 2 region 0:0x00000000388c0000 <6>[ 0.079540] CPU2: Booted secondary processor 0x0000000002 [0x410fd034] <6>[ 0.080042] Detected VIPT I-cache on CPU3 <6>[ 0.080086] GICv3: CPU3: found redistributor 3 region 0:0x00000000388e0000 <6>[ 0.080107] CPU3: Booted secondary processor 0x0000000003 [0x410fd034] <6>[ 0.080203] smp: Brought up 1 node, 4 CPUs <6>[ 0.137612] SMP: Total of 4 processors activated. <6>[ 0.142587] CPU: All CPU(s) started at EL2 <6>[ 0.147002] CPU features: detected: 32-bit EL0 Support <6>[ 0.152392] CPU features: detected: 32-bit EL1 Support <6>[ 0.157829] CPU features: detected: CRC32 instructions <6>[ 0.163269] alternatives: applying system-wide alternatives <6>[ 0.170200] Memory: 3941272K/4194304K available (17152K kernel code, 5014K rwdata, 11660K rodata, 10240K init, 733K bss, 215712K reserved, 32768K cma-reserved) <6>[ 0.184835] devtmpfs: initialized <6>[ 0.199250] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns <6>[ 0.206491] futex hash table entries: 1024 (order: 4, 65536 bytes, linear) <6>[ 0.214408] 21504 pages in range for non-PLT usage <6>[ 0.214423] 513024 pages in range for PLT usage <6>[ 0.218938] pinctrl core: initialized pinctrl subsystem <6>[ 0.232641] DMI not present or invalid. <6>[ 0.236410] NET: Registered PF_NETLINK/PF_ROUTE protocol family <6>[ 0.240974] DMA: preallocated 512 KiB GFP_KERNEL pool for atomic allocations <6>[ 0.247645] DMA: preallocated 512 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations <6>[ 0.255736] DMA: preallocated 512 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations <6>[ 0.263775] audit: initializing netlink subsys (disabled) <5>[ 0.269570] audit: type=2000 audit(0.168:1): state=initialized audit_enabled=0 res=1 <6>[ 0.271308] thermal_sys: Registered thermal governor 'step_wise' <6>[ 0.277477] thermal_sys: Registered thermal governor 'power_allocator' <6>[ 0.283833] cpuidle: using governor menu <6>[ 0.295060] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers. <6>[ 0.301961] ASID allocator initialised with 65536 entries <6>[ 0.310507] Serial: AMBA PL011 UART driver <6>[ 0.322799] platform soc@0: Fixed dependency cycle(s) with /soc@0/bus@30000000/efuse@30350000/unique-id@8 <6>[ 0.330940] platform funnel: Fixed dependency cycle(s) with /soc@0/etm@28440000 <6>[ 0.337571] amba 28440000.etm: Fixed dependency cycle(s) with /funnel <6>[ 0.344352] platform funnel: Fixed dependency cycle(s) with /soc@0/etm@28540000 <6>[ 0.351899] amba 28540000.etm: Fixed dependency cycle(s) with /funnel <6>[ 0.358687] platform funnel: Fixed dependency cycle(s) with /soc@0/etm@28640000 <6>[ 0.366249] amba 28640000.etm: Fixed dependency cycle(s) with /funnel <6>[ 0.373058] platform funnel: Fixed dependency cycle(s) with /soc@0/etm@28740000 <6>[ 0.380603] amba 28740000.etm: Fixed dependency cycle(s) with /funnel <6>[ 0.387406] platform funnel: Fixed dependency cycle(s) with /soc@0/funnel@28c03000 <6>[ 0.395189] amba 28c03000.funnel: Fixed dependency cycle(s) with /soc@0/etf@28c04000 <6>[ 0.403202] amba 28c03000.funnel: Fixed dependency cycle(s) with /funnel <6>[ 0.410332] amba 28c03000.funnel: Fixed dependency cycle(s) with /soc@0/etf@28c04000 <6>[ 0.418282] amba 28c04000.etf: Fixed dependency cycle(s) with /soc@0/etr@28c06000 <6>[ 0.426047] amba 28c04000.etf: Fixed dependency cycle(s) with /soc@0/funnel@28c03000 <6>[ 0.434215] amba 28c04000.etf: Fixed dependency cycle(s) with /soc@0/etr@28c06000 <6>[ 0.441944] amba 28c06000.etr: Fixed dependency cycle(s) with /soc@0/etf@28c04000 <6>[ 0.453854] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpiohog3grp <6>[ 0.462044] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpiohog2grp <6>[ 0.473065] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpiohog1grp <6>[ 0.484090] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio8grp <6>[ 0.494861] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio7grp <6>[ 0.505615] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio4grp <6>[ 0.516378] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio3grp <6>[ 0.527140] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio2grp <6>[ 0.537906] platform 30330000.pinctrl: Fixed dependency cycle(s) with /soc@0/bus@30000000/pinctrl@30330000/gpio1grp <6>[ 0.549428] imx8mp-pinctrl 30330000.pinctrl: initialized IMX pinctrl driver <6>[ 0.557003] platform 30350000.efuse: Fixed dependency cycle(s) with /soc@0/bus@30000000/clock-controller@30380000 <6>[ 0.568726] platform 30350000.efuse: Fixed dependency cycle(s) with /soc@0/bus@30000000/clock-controller@30380000 <6>[ 0.578038] platform 30380000.clock-controller: Fixed dependency cycle(s) with /soc@0/interrupt-controller@38800000 <6>[ 0.608304] platform 32fc4000.display-bridge: Fixed dependency cycle(s) with /soc@0/bus@32c00000/hdmi@32fd8000 <6>[ 0.615834] platform 32fc4000.display-bridge: Fixed dependency cycle(s) with /soc@0/bus@32c00000/display-controller@32fc6000 <6>[ 0.627817] platform 32fc4000.display-bridge: Fixed dependency cycle(s) with /soc@0/bus@32c00000/display-controller@32fc6000 <6>[ 0.638995] platform 32fc6000.display-controller: Fixed dependency cycle(s) with /soc@0/bus@32c00000/display-bridge@32fc4000 <6>[ 0.651041] platform 32fc4000.display-bridge: Fixed dependency cycle(s) with /soc@0/bus@32c00000/hdmi@32fd8000 <6>[ 0.660931] platform 32fd8000.hdmi: Fixed dependency cycle(s) with /soc@0/bus@32c00000/display-bridge@32fc4000 <6>[ 0.678053] platform connector: Fixed dependency cycle(s) with /soc@0/usb@32f10100/usb@38100000 <6>[ 0.688003] platform 32fd8000.hdmi: Fixed dependency cycle(s) with /native-hdmi-connector <6>[ 0.693814] platform native-hdmi-connector: Fixed dependency cycle(s) with /soc@0/bus@32c00000/hdmi@32fd8000 <6>[ 0.705979] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages <6>[ 0.710901] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page <6>[ 0.717446] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages <6>[ 0.724527] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page <6>[ 0.731090] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages <6>[ 0.738175] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page <6>[ 0.744741] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages <6>[ 0.751836] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page <6>[ 0.760524] ACPI: Interpreter disabled. <6>[ 0.766555] iommu: Default domain type: Translated <6>[ 0.768773] iommu: DMA domain TLB invalidation policy: strict mode <5>[ 0.775578] SCSI subsystem initialized <6>[ 0.779639] usbcore: registered new interface driver usbfs <6>[ 0.785086] usbcore: registered new interface driver hub <6>[ 0.790680] usbcore: registered new device driver usb <6>[ 0.797789] pps_core: LinuxPPS API ver. 1 registered <6>[ 0.801244] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <6>[ 0.810701] PTP clock support registered <6>[ 0.815116] EDAC MC: Ver: 3.0.0 <6>[ 0.818776] scmi_core: SCMI protocol bus registered <6>[ 0.824860] FPGA manager framework <6>[ 0.827227] Advanced Linux Sound Architecture Driver Initialized. <6>[ 0.834508] vgaarb: loaded <6>[ 0.836949] clocksource: Switched to clocksource arch_sys_counter <5>[ 0.843102] VFS: Disk quotas dquot_6.6.0 <6>[ 0.847119] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes) <6>[ 0.854493] pnp: PnP ACPI: disabled <6>[ 0.865170] NET: Registered PF_INET protocol family <6>[ 0.867640] IP idents hash table entries: 65536 (order: 7, 524288 bytes, linear) <6>[ 0.877938] tcp_listen_portaddr_hash hash table entries: 2048 (order: 3, 32768 bytes, linear) <6>[ 0.884086] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear) <6>[ 0.892081] TCP established hash table entries: 32768 (order: 6, 262144 bytes, linear) <6>[ 0.900501] TCP bind hash table entries: 32768 (order: 8, 1048576 bytes, linear) <6>[ 0.908843] TCP: Hash tables configured (established 32768 bind 32768) <6>[ 0.914960] UDP hash table entries: 2048 (order: 4, 65536 bytes, linear) <6>[ 0.921900] UDP-Lite hash table entries: 2048 (order: 4, 65536 bytes, linear) <6>[ 0.929455] NET: Registered PF_UNIX/PF_LOCAL protocol family <6>[ 0.935578] RPC: Registered named UNIX socket transport module. <6>[ 0.941427] RPC: Registered udp transport module. <6>[ 0.946403] RPC: Registered tcp transport module. <6>[ 0.951390] RPC: Registered tcp-with-tls transport module. <6>[ 0.957169] RPC: Registered tcp NFSv4.1 backchannel transport module. <6>[ 0.963912] PCI: CLS 0 bytes, default 64 <6>[ 0.968313] Unpacking initramfs... <6>[ 0.984548] kvm [1]: nv: 529 coarse grained trap handlers <6>[ 0.987837] kvm [1]: IPA Size Limit: 40 bits <6>[ 0.994419] kvm [1]: GICv3: no GICV resource entry <6>[ 0.997031] kvm [1]: disabling GICv2 emulation <6>[ 1.001767] kvm [1]: GIC system register CPU interface enabled <6>[ 1.007906] kvm [1]: vgic interrupt IRQ9 <6>[ 1.012111] kvm [1]: Hyp nVHE mode initialized successfully <5>[ 1.019307] Initialise system trusted keyrings <6>[ 1.022930] workingset: timestamp_bits=42 max_order=20 bucket_order=0 <6>[ 1.029769] squashfs: version 4.0 (2009/01/31) Phillip Lougher <5>[ 1.035910] NFS: Registering the id_resolver key type <5>[ 1.040981] Key type id_resolver registered <5>[ 1.045321] Key type id_legacy registered <6>[ 1.049672] nfs4filelayout_init: NFSv4 File Layout Driver Registering... <6>[ 1.056620] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering... <6>[ 1.064537] 9p: Installing v9fs 9p2000 file system support <5>[ 1.123515] Key type asymmetric registered <5>[ 1.125059] Asymmetric key parser 'x509' registered <6>[ 1.130305] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245) <6>[ 1.137916] io scheduler mq-deadline registered <6>[ 1.142727] io scheduler kyber registered <6>[ 1.147059] io scheduler bfq registered <6>[ 1.209091] SoC: i.MX8MP revision 1.1 <6>[ 1.260598] Serial: 8250/16550 driver, 4 ports, IRQ sharing enabled <6>[ 1.270164] 30860000.serial: ttymxc0 at MMIO 0x30860000 (irq = 15, base_baud = 1500000) is a IMX <6>[ 1.277424] 30880000.serial: ttymxc2 at MMIO 0x30880000 (irq = 16, base_baud = 1500000) is a IMX <6>[ 1.285598] printk: legacy console [ttymxc2] enabled <6>[ 1.285598] printk: legacy console [ttymxc2] enabled <6>[ 1.295961] printk: legacy bootconsole [ec_imx6q0] disabled <6>[ 1.295961] printk: legacy bootconsole [ec_imx6q0] disabled <6>[ 1.309957] 30890000.serial: ttymxc1 at MMIO 0x30890000 (irq = 17, base_baud = 1500000) is a IMX <6>[ 1.320869] msm_serial: driver initialized <6>[ 1.326259] SuperH (H)SCI(F) driver initialized <6>[ 1.331748] STM32 USART driver initialized <6>[ 1.346501] loop: module loaded <6>[ 1.351797] megasas: 07.727.03.00-rc1 <6>[ 1.368693] tun: Universal TUN/TAP device driver, 1.6 <6>[ 1.376301] thunder_xcv, ver 1.0 <6>[ 1.379896] thunder_bgx, ver 1.0 <6>[ 1.383457] nicpf, ver 1.0 <6>[ 1.389244] hns3: Hisilicon Ethernet Network Driver for Hip08 Family - version <6>[ 1.396775] hns3: Copyright (c) 2017 Huawei Corporation. <6>[ 1.402443] hclge is initializing <6>[ 1.406080] e1000: Intel(R) PRO/1000 Network Driver <6>[ 1.411241] e1000: Copyright (c) 1999-2006 Intel Corporation. <6>[ 1.417325] e1000e: Intel(R) PRO/1000 Network Driver <6>[ 1.422568] e1000e: Copyright(c) 1999 - 2015 Intel Corporation. <6>[ 1.428821] igb: Intel(R) Gigabit Ethernet Network Driver <6>[ 1.434504] igb: Copyright (c) 2007-2014 Intel Corporation. <6>[ 1.440413] igbvf: Intel(R) Gigabit Virtual Function Network Driver <6>[ 1.446969] igbvf: Copyright (c) 2009 - 2012 Intel Corporation. <6>[ 1.454111] sky2: driver version 1.30 <6>[ 1.461114] VFIO - User Level meta-driver version: 0.3 <6>[ 1.473219] usbcore: registered new interface driver usb-storage <6>[ 1.486445] i2c_dev: i2c /dev entries driver <6>[ 1.507656] sdhci: Secure Digital Host Controller Interface driver <6>[ 1.514142] sdhci: Copyright(c) Pierre Ossman <6>[ 1.521009] Synopsys Designware Multimedia Card Interface Driver <6>[ 1.529792] sdhci-pltfm: SDHCI platform and OF driver helper <6>[ 1.541297] ledtrig-cpu: registered to indicate activity on CPUs <6>[ 1.549313] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping .... <6>[ 1.558093] usbcore: registered new interface driver usbhid <6>[ 1.563966] usbhid: USB HID core driver <6>[ 1.577226] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 counters available <6>[ 1.588988] platform soc@0: Fixed dependency cycle(s) with /soc@0/bus@30000000/efuse@30350000 <6>[ 1.608507] NET: Registered PF_PACKET protocol family <6>[ 1.613951] 9pnet: Installing 9P2000 support <5>[ 1.618607] Key type dns_resolver registered <6>[ 1.633559] registered taskstats version 1 <5>[ 1.638158] Loading compiled-in X.509 certificates <6>[ 1.651829] Demotion targets for Node 0: null <4>[ 1.669662] gpio gpiochip0: Static allocation of GPIO base is deprecated, use dynamic allocation. <4>[ 1.680693] gpio gpiochip1: Static allocation of GPIO base is deprecated, use dynamic allocation. <4>[ 1.691778] gpio gpiochip2: Static allocation of GPIO base is deprecated, use dynamic allocation. <4>[ 1.702838] gpio gpiochip3: Static allocation of GPIO base is deprecated, use dynamic allocation. <4>[ 1.713949] gpio gpiochip4: Static allocation of GPIO base is deprecated, use dynamic allocation. <6>[ 1.737525] reg-fixed-voltage regulator-pcie: nonexclusive access to GPIO for (default) <6>[ 1.738097] i2c i2c-0: using pinctrl states for GPIO recovery <6>[ 1.751955] i2c i2c-0: using generic GPIOs for recovery <6>[ 1.758522] i2c i2c-0: IMX I2C adapter registered <6>[ 1.764884] i2c i2c-1: using pinctrl states for GPIO recovery <6>[ 1.769835] nxp-pca9450 0-0025: pca9450bc probed. <6>[ 1.770989] i2c i2c-1: using generic GPIOs for recovery <6>[ 1.781531] i2c i2c-1: IMX I2C adapter registered <6>[ 1.787804] i2c i2c-2: using pinctrl states for GPIO recovery <6>[ 1.793967] i2c i2c-2: using generic GPIOs for recovery <6>[ 1.799631] i2c i2c-2: IMX I2C adapter registered <6>[ 1.805885] i2c i2c-3: using pinctrl states for GPIO recovery <6>[ 1.812073] i2c i2c-3: using generic GPIOs for recovery <6>[ 1.818647] i2c i2c-3: IMX I2C adapter registered <6>[ 1.825208] i2c i2c-4: using pinctrl states for GPIO recovery <6>[ 1.831435] i2c i2c-4: using generic GPIOs for recovery <6>[ 1.837114] i2c i2c-4: IMX I2C adapter registered <6>[ 1.873953] platform connector: Fixed dependency cycle(s) with /soc@0/usb@32f10100/usb@38100000 <6>[ 1.883174] platform 38100000.usb: Fixed dependency cycle(s) with /connector <6>[ 1.903203] sdhci-esdhc-imx 30b50000.mmc: Got CD GPIO <4>[ 1.903490] imx8mq-usb-phy 381f0040.usb-phy: supply vbus not found, using dummy regulator <6>[ 1.923065] imx6q-pcie 33800000.pcie: host bridge /soc@0/pcie@33800000 ranges: <6>[ 1.930707] imx6q-pcie 33800000.pcie: IO 0x001ff80000..0x001ff8ffff -> 0x0000000000 <6>[ 1.931895] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller <6>[ 1.939247] imx6q-pcie 33800000.pcie: MEM 0x0018000000..0x001fefffff -> 0x0018000000 <6>[ 1.945035] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1 <6>[ 1.961738] xhci-hcd xhci-hcd.0.auto: hcc params 0x0220fe65 hci version 0x110 quirks 0x0000808000000010 <6>[ 1.971495] xhci-hcd xhci-hcd.0.auto: irq 203, io mem 0x38200000 <6>[ 1.977965] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller <6>[ 1.983754] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 2 <6>[ 1.991713] xhci-hcd xhci-hcd.0.auto: Host supports USB 3.0 SuperSpeed <6>[ 1.999219] hub 1-0:1.0: USB hub found <6>[ 2.003313] hub 1-0:1.0: 1 port detected <6>[ 2.010362] usb usb2: We don't know the algorithms for LPM for this host, disabling LPM. <6>[ 2.019358] hub 2-0:1.0: USB hub found <6>[ 2.023431] hub 2-0:1.0: 1 port detected <6>[ 2.031513] input: gpio-keys as /devices/platform/gpio-keys/input/input0 <6>[ 2.109408] Freeing initrd memory: 22696K <6>[ 2.145199] mmc2: SDHCI controller on 30b60000.mmc [30b60000.mmc] using ADMA <6>[ 2.147857] mmc1: SDHCI controller on 30b50000.mmc [30b50000.mmc] using ADMA <6>[ 2.169162] imx6q-pcie 33800000.pcie: iATU: unroll T, 4 ob, 4 ib, align 64K, limit 16G <6>[ 2.204705] mmc2: new HS400 Enhanced strobe MMC card at address 0001 <6>[ 2.211897] mmcblk2: mmc2:0001 IX2932 29.1 GiB <6>[ 2.217984] mmcblk2: p1 p2 <6>[ 2.221531] mmcblk2boot0: mmc2:0001 IX2932 4.00 MiB <6>[ 2.227627] mmcblk2boot1: mmc2:0001 IX2932 4.00 MiB <6>[ 2.233723] mmcblk2rpmb: mmc2:0001 IX2932 4.00 MiB, chardev (234:0) <6>[ 2.260970] usb 1-1: new high-speed USB device number 2 using xhci-hcd <6>[ 2.446722] hub 1-1:1.0: USB hub found <6>[ 2.450798] hub 1-1:1.0: 4 ports detected <6>[ 2.540999] usb 2-1: new SuperSpeed USB device number 2 using xhci-hcd <6>[ 2.590707] hub 2-1:1.0: USB hub found <6>[ 2.594773] hub 2-1:1.0: 3 ports detected <6>[ 2.796965] usb 1-1.4: new high-speed USB device number 3 using xhci-hcd <6>[ 3.176970] imx6q-pcie 33800000.pcie: Phy link never came up <6>[ 4.180971] imx6q-pcie 33800000.pcie: Phy link never came up <6>[ 4.187259] imx6q-pcie 33800000.pcie: PCI host bridge to bus 0000:00 <6>[ 4.193897] pci_bus 0000:00: root bus resource [bus 00-ff] <6>[ 4.199658] pci_bus 0000:00: root bus resource [io 0x0000-0xffff] <6>[ 4.206112] pci_bus 0000:00: root bus resource [mem 0x18000000-0x1fefffff] <6>[ 4.213286] pci 0000:00:00.0: [16c3:abcd] type 01 class 0x060400 PCIe Root Port <6>[ 4.220880] pci 0000:00:00.0: BAR 0 [mem 0x00000000-0x000fffff] <6>[ 4.227082] pci 0000:00:00.0: ROM [mem 0x00000000-0x0000ffff pref] <6>[ 4.233540] pci 0000:00:00.0: PCI bridge to [bus 01-ff] <6>[ 4.239043] pci 0000:00:00.0: bridge window [io 0x0000-0x0fff] <6>[ 4.245409] pci 0000:00:00.0: bridge window [mem 0x00000000-0x000fffff] <6>[ 4.252473] pci 0000:00:00.0: bridge window [mem 0x00000000-0x000fffff pref] <6>[ 4.260007] pci 0000:00:00.0: supports D1 <6>[ 4.264290] pci 0000:00:00.0: PME# supported from D0 D1 D3hot D3cold <6>[ 4.273950] pci 0000:00:00.0: BAR 0 [mem 0x18000000-0x180fffff]: assigned <6>[ 4.281024] pci 0000:00:00.0: ROM [mem 0x18100000-0x1810ffff pref]: assigned <6>[ 4.288349] pci 0000:00:00.0: PCI bridge to [bus 01-ff] <6>[ 4.293854] pci_bus 0000:00: resource 4 [io 0x0000-0xffff] <6>[ 4.299699] pci_bus 0000:00: resource 5 [mem 0x18000000-0x1fefffff] <6>[ 4.306594] pcieport 0000:00:00.0: PME: Signaling with IRQ 206 <6>[ 4.312964] pcieport 0000:00:00.0: AER: enabled with IRQ 206 <6>[ 4.319122] Trying to probe devices needed for running init ... <6>[ 16.621014] clk: Disabling unused clocks <6>[ 16.630032] PM: genpd: Disabling unused power domains <6>[ 16.635400] ALSA device list: <6>[ 16.638672] No soundcards found. <6>[ 16.644860] Freeing unused kernel memory: 10240K <6>[ 16.649827] Run /init as init process Loading, please wait... Starting systemd-udevd version 252.22-1~deb12u1 <6>[ 17.374733] imx-cpufreq-dt imx-cpufreq-dt: cpu speed grade 7 mkt segment 2 supported-hw 0x80 0x4 <6>[ 17.445863] cs_system_cfg: CoreSight Configuration manager initialised <6>[ 17.601978] CAN device driver interface <6>[ 17.602412] caam 30900000.crypto: device ID = 0x0a16040100000100 (Era 9) <6>[ 17.613206] caam 30900000.crypto: job rings = 2, qi = 0 <6>[ 17.621485] input: 30370000.snvs:snvs-powerkey as /devices/platform/soc@0/30000000.bus/30370000.snvs/30370000.snvs:snvs-powerkey/input/input1 <6>[ 17.635025] etnaviv etnaviv: bound 38000000.gpu (ops gpu_ops [etnaviv]) <6>[ 17.645165] etnaviv etnaviv: bound 38008000.gpu (ops gpu_ops [etnaviv]) <6>[ 17.655474] etnaviv etnaviv: bound 38500000.npu (ops gpu_ops [etnaviv]) <6>[ 17.656226] snvs_rtc 30370000.snvs:snvs-rtc-lp: registered as rtc1 <6>[ 17.656542] hwmon hwmon3: temp1_input not attached to any thermal zone <6>[ 17.656549] lm75 3-004f: hwmon3: sensor 'tmp75c' <6>[ 17.662542] etnaviv-gpu 38000000.gpu: model: GC7000, revision: 6204 <4>[ 17.681664] rtc-ds1307 0-0032: oscillator failed, set time! <6>[ 17.688282] etnaviv-gpu 38008000.gpu: model: GC520, revision: 5341 <6>[ 17.699837] etnaviv-gpu 38500000.npu: model: GC8000, revision: 8002 <6>[ 17.704226] rtc-ds1307 0-0032: registered as rtc0 <6>[ 17.708388] imx-sdma 30bd0000.dma-controller: external firmware not found, using ROM firmware <4>[ 17.711759] etnaviv-gpu 38500000.npu: etnaviv has been instantiated on a NPU, for which the UAPI is still experimental <3>[ 17.731568] rtc-ds1307 0-0032: hctosys: unable to read the hardware clock <6>[ 17.738891] [drm] Initialized etnaviv 1.4.0 for etnaviv on minor 0 <6>[ 17.743581] imx-sdma 30e00000.dma-controller: external firmware not found, using ROM firmware <6>[ 17.753391] imx-dwmac 30bf0000.ethernet: IRQ eth_lpi not found <6>[ 17.765764] imx-dwmac 30bf0000.ethernet: IRQ sfty not found <6>[ 17.772055] imx-dwmac 30bf0000.ethernet: User ID: 0x10, Synopsys ID: 0x51 <6>[ 17.779174] imx-dwmac 30bf0000.ethernet: DWMAC4/5 <6>[ 17.784264] imx-dwmac 30bf0000.ethernet: DMA HW capability register supported <6>[ 17.791694] imx-dwmac 30bf0000.ethernet: RX Checksum Offload Engine supported <6>[ 17.799115] imx-dwmac 30bf0000.ethernet: Wake-Up On Lan supported <6>[ 17.805590] imx-dwmac 30bf0000.ethernet: Enable RX Mitigation via HW Watchdog Timer <6>[ 17.806062] imx-sdma 30e10000.dma-controller: external firmware not found, using ROM firmware <6>[ 17.813535] imx-dwmac 30bf0000.ethernet: Enabled L3L4 Flow TC (entries=8) <6>[ 17.813541] imx-dwmac 30bf0000.ethernet: Enabled RFS Flow TC (entries=10) <6>[ 17.813551] imx-dwmac 30bf0000.ethernet: Enabling HW TC (entries=256, max_off=256) <6>[ 17.813558] imx-dwmac 30bf0000.ethernet: Using 34/40 bits DMA host/device width <6>[ 17.863376] mc: Linux media interface: v0.10 <4>[ 17.865642] ina2xx 3-0040: supply vs not found, using dummy regulator <6>[ 17.876794] wm8904 3-001a: revision A <6>[ 17.882196] ina2xx 3-0040: power monitor ina219 (Rshunt = 10000 uOhm) <6>[ 17.903642] videodev: Linux video capture interface: v2.00 <3>[ 17.919555] debugfs: File 'Headphone Jack' in directory 'dapm' already present! <3>[ 17.927236] debugfs: File 'CPU-Playback' in directory 'dapm' already present! <3>[ 17.934718] debugfs: File 'CPU-Capture' in directory 'dapm' already present! <6>[ 17.973768] usbcore: registered new device driver onboard-usb-dev <6>[ 17.979219] imx8mp-dw-hdmi-tx 32fd8000.hdmi: Detected HDMI TX controller v2.13a with HDCP (SAMSUNG HDMI TX PHY) <6>[ 17.982430] hantro-vpu 38300000.video-codec: registered nxp,imx8mm-vpu-g1-dec as /dev/video0 <6>[ 17.990874] usb 1-1.4: USB disconnect, device number 3 <6>[ 18.003532] hantro-vpu 38310000.video-codec: registered nxp,imx8mq-vpu-g2-dec as /dev/video1 <6>[ 18.051362] [drm] Initialized imx-lcdif 1.0.0 for 32fc6000.display-controller on minor 1 <6>[ 18.059994] imx-lcdif 32fc6000.display-controller: [drm] Cannot find any crtc or sizes <6>[ 18.068855] imx-dwmac 30bf0000.ethernet end0: renamed from eth0 <6>[ 18.097394] caam algorithms registered in /proc/crypto <6>[ 18.102943] caam 30900000.crypto: caam pkc algorithms registered in /proc/crypto <6>[ 18.110805] caam 30900000.crypto: registering rng-caam <6>[ 18.116396] caam 30900000.crypto: rng crypto API alg registered prng-caam <5>[ 18.140995] random: crng init done <6>[ 18.254816] hub 1-1:1.0: USB hub found <6>[ 18.258954] hub 1-1:1.0: 4 ports detected <6>[ 18.414772] hub 1-1:1.0: USB hub found <6>[ 18.418872] hub 1-1:1.0: 4 ports detected <6>[ 18.574756] hub 1-1:1.0: USB hub found <6>[ 18.578850] hub 1-1:1.0: 4 ports detected <6>[ 18.766708] hub 2-1:1.0: USB hub found <6>[ 18.766744] hub 1-1:1.0: USB hub found <6>[ 18.770810] hub 2-1:1.0: 3 ports detected <6>[ 18.774813] hub 1-1:1.0: 4 ports detected <4>[ 18.781310] onboard-usb-dev 38200000.usb:usb-hub@1: supply vdd2 not found, using dummy regulator <6>[ 18.878808] hub 2-1:1.0: USB hub found <6>[ 18.882909] hub 2-1:1.0: 3 ports detected Begin: Loading essential drivers ... done. Begin: Running /scripts/init-premount ... done. Begin: Mounting root file system ... Begin: Running /scripts/nfs-top ... done. Begin: Running /scripts/nfs-premount ... Waiting up to 60 secs for any ethernet to become available Device /sys/class/net/end0 found done. Begin: Waiting up to 180 secs for any network device to become available ... done. IP-Config: end0 hardware address <6>[ 18.985892] imx-dwmac 30bf0000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-0 00:14:2d:e5:68:21 mtu 1500 DHCP <6>[ 18.996096] imx-dwmac 30bf0000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-1 <6>[ 19.006492] imx-dwmac 30bf0000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-2 <6>[ 19.014862] imx-dwmac 30bf0000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-3 <6>[ 19.023025] imx-dwmac 30bf0000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-4 <6>[ 19.073552] imx-dwmac 30bf0000.ethernet end0: PHY [stmmac-0:07] driver [Microchip KSZ9131 Gigabit PHY] (irq=35) <6>[ 19.094612] imx-dwmac 30bf0000.ethernet end0: No Safety Features support found <6>[ 19.102135] imx-dwmac 30bf0000.ethernet end0: IEEE 1588-2008 Advanced Timestamp supported <6>[ 19.110842] imx-dwmac 30bf0000.ethernet end0: registered PTP clock <6>[ 19.117336] usb 1-1.4: new high-speed USB device number 4 using xhci-hcd <6>[ 19.124575] imx-dwmac 30bf0000.ethernet end0: FPE workqueue start <6>[ 19.130970] imx-dwmac 30bf0000.ethernet end0: configuring for phy/rgmii-id link mode IP-Config: no response after 2 secs - giving up IP-Config: end0 hardware address 00:14:2d:e5:68:21 mtu 1500 DHCP <6>[ 22.216498] imx-dwmac 30bf0000.ethernet end0: Link is Up - 1Gbps/Full - flow control off IP-Config: no response after 3 secs - giving up IP-Config: end0 hardware address 00:14:2d:e5:68:21 mtu 1500 DHCP IP-Config: end0 complete (dhcp from 192.168.56.254): address: 192.168.56.51 broadcast: 192.168.56.255 netmask: 255.255.255.0 gateway: 192.168.56.254 dns0 : 192.168.56.254 dns1 : 0.0.0.0 domain : mayfield.sirena.org.uk rootserver: 192.168.56.254 rootpath: filename : done. Begin: Running /scripts/nfs-bottom ... done. Begin: Running /scripts/init-bottom ... done. <30>[ 27.544860] systemd[1]: System time before build time, advancing clock. <6>[ 27.593733] NET: Registered PF_INET6 protocol family <6>[ 27.599922] Segment Routing with IPv6 <6>[ 27.603897] In-situ OAM (IOAM) with IPv6 <30>[ 27.662317] systemd[1]: systemd 252.22-1~deb12u1 running in system mode (+PAM +AUDIT +SELINUX +APPARMOR +IMA +SMACK +SECCOMP +GCRYPT -GNUTLS +OPENSSL +ACL +BLKID +CURL +ELFUTILS +FIDO2 +IDN2 -IDN +IPTC +KMOD +LIBCRYPTSETUP +LIBFDISK +PCRE2 -PWQUALITY +P11KIT +QRENCODE +TPM2 +BZIP2 +LZ4 +XZ +ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified) <30>[ 27.695455] systemd[1]: Detected architecture arm64. Welcome to [1mDebian GNU/Linux 12 (bookworm)[0m! <30>[ 27.718914] systemd[1]: Hostname set to . <30>[ 28.512211] systemd[1]: Queued start job for default target graphical.target. <30>[ 28.564469] systemd[1]: Created slice system-getty.slice - Slice /system/getty. [[0;32m OK [0m] Created slice [0;1;39msystem-getty.slice[0m - Slice /system/getty. <30>[ 28.590497] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe. [[0;32m OK [0m] Created slice [0;1;39msystem-modpr…lice[0m - Slice /system/modprobe. <30>[ 28.618578] systemd[1]: Created slice system-serial\x2dgetty.slice - Slice /system/serial-getty. [[0;32m OK [0m] Created slice [0;1;39msystem-seria…[0m - Slice /system/serial-getty. <30>[ 28.646149] systemd[1]: Created slice user.slice - User and Session Slice. [[0;32m OK [0m] Created slice [0;1;39muser.slice[0m - User and Session Slice. <30>[ 28.669333] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch. [[0;32m OK [0m] Started [0;1;39msystemd-ask-passwo…quests to Console Directory Watch. <30>[ 28.697279] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch. [[0;32m OK [0m] Started [0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch. <30>[ 28.725219] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc). <30>[ 28.745004] systemd[1]: Expecting device dev-ttymxc2.device - /dev/ttymxc2... Expecting device [0;1;39mdev-ttymxc2.device[0m - /dev/ttymxc2... <30>[ 28.769097] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes. [[0;32m OK [0m] Reached target [0;1;39mcryptsetup.…get[0m - Local Encrypted Volumes. <30>[ 28.793133] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes. [[0;32m OK [0m] Reached target [0;1;39mintegrityse…Local Integrity Protected Volumes. <30>[ 28.821141] systemd[1]: Reached target paths.target - Path Units. [[0;32m OK [0m] Reached target [0;1;39mpaths.target[0m - Path Units. <30>[ 28.841076] systemd[1]: Reached target remote-fs.target - Remote File Systems. [[0;32m OK [0m] Reached target [0;1;39mremote-fs.target[0m - Remote File Systems. <30>[ 28.865067] systemd[1]: Reached target slices.target - Slice Units. [[0;32m OK [0m] Reached target [0;1;39mslices.target[0m - Slice Units. <30>[ 28.885101] systemd[1]: Reached target swap.target - Swaps. [[0;32m OK [0m] Reached target [0;1;39mswap.target[0m - Swaps. <30>[ 28.905150] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes. [[0;32m OK [0m] Reached target [0;1;39mveritysetup… - Local Verity Protected Volumes. <30>[ 28.929372] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe. [[0;32m OK [0m] Listening on [0;1;39msystemd-initc… initctl Compatibility Named Pipe. <30>[ 28.958805] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket. [[0;32m OK [0m] Listening on [0;1;39msystemd-journ…socket[0m - Journal Audit Socket. <30>[ 28.986495] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log). [[0;32m OK [0m] Listening on [0;1;39msystemd-journ…t[0m - Journal Socket (/dev/log). <30>[ 29.013651] systemd[1]: Listening on systemd-journald.socket - Journal Socket. [[0;32m OK [0m] Listening on [0;1;39msystemd-journald.socket[0m - Journal Socket. <30>[ 29.038596] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket. [[0;32m OK [0m] Listening on [0;1;39msystemd-netwo… - Network Service Netlink Socket. <30>[ 29.067431] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket. [[0;32m OK [0m] Listening on [0;1;39msystemd-udevd….socket[0m - udev Control Socket. <30>[ 29.093548] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket. [[0;32m OK [0m] Listening on [0;1;39msystemd-udevd…l.socket[0m - udev Kernel Socket. <30>[ 29.141225] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System... Mounting [0;1;39mdev-hugepages.mount[0m - Huge Pages File System... <30>[ 29.172521] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System... Mounting [0;1;39mdev-mqueue.mount…POSIX Message Queue File System... <30>[ 29.213185] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System... Mounting [0;1;39msys-kernel-debug.…[0m - Kernel Debug File System... <30>[ 29.237511] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing). <30>[ 29.257578] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes... Starting [0;1;39mkmod-static-nodes…ate List of Static Device Nodes... <30>[ 29.288890] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs... Starting [0;1;39mmodprobe@configfs…m - Load Kernel Module configfs... <30>[ 29.316899] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod... Starting [0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod... <6>[ 29.342977] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev <30>[ 29.345239] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm... Starting [0;1;39mmodprobe@drm.service[0m - Load Kernel Module drm... <30>[ 29.376889] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore... Starting [0;1;39mmodprobe@efi_psto…- Load Kernel Module efi_pstore... <30>[ 29.409004] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse... Starting [0;1;39mmodprobe@fuse.ser…e[0m - Load Kernel Module fuse... <6>[ 29.435440] fuse: init (API version 7.40) <30>[ 29.457466] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop... Starting [0;1;39mmodprobe@loop.ser…e[0m - Load Kernel Module loop... <30>[ 29.487545] systemd[1]: Starting systemd-journald.service - Journal Service... Starting [0;1;39msystemd-journald.service[0m - Journal Service... <30>[ 29.517069] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules... Starting [0;1;39msystemd-modules-l…rvice[0m - Load Kernel Modules... <30>[ 29.544259] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line... Starting [0;1;39msystemd-network-g… units from Kernel command line... <30>[ 29.576068] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems... Starting [0;1;39msystemd-remount-f…nt Root and Kernel File Systems... <30>[ 29.604189] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices... Starting [0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices... <30>[ 29.634193] systemd[1]: Mounted dev-hugepages.mount - Huge Pages File System. [[0;32m OK [0m] Mounted [0;1;39mdev-hugepages.mount[0m - Huge Pages File System. <30>[ 29.657530] systemd[1]: Mounted dev-mqueue.mount - POSIX Message Queue File System. [[0;32m OK [0m] Mounted [0;1;39mdev-mqueue.mount[…- POSIX Message Queue File System. <30>[ 29.681434] systemd[1]: Started systemd-journald.service - Journal Service. [[0;32m OK [0m] Started [0;1;39msystemd-journald.service[0m - Journal Service. [[0;32m OK [0m] Mounted [0;1;39msys-kernel-debug.m…nt[0m - Kernel Debug File System. [[0;32m OK [0m] Finished [0;1;39mkmod-static-nodes…reate List of Static Device Nodes. [[0;32m OK [0m] Finished [0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs. [[0;32m OK [0m] Finished [0;1;39mmodprobe@dm_mod.s…e[0m - Load Kernel Module dm_mod. [[0;32m OK [0m] Finished [0;1;39mmodprobe@drm.service[0m - Load Kernel Module drm. [[0;32m OK [0m] Finished [0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore. [[0;32m OK [0m] Finished [0;1;39mmodprobe@fuse.service[0m - Load Kernel Module fuse. [[0;32m OK [0m] Finished [0;1;39mmodprobe@loop.service[0m - Load Kernel Module loop. [[0;32m OK [0m] Finished [0;1;39msystemd-modules-l…service[0m - Load Kernel Modules. [[0;32m OK [0m] Finished [0;1;39msystemd-network-g…rk units from Kernel command line. [[0;32m OK [0m] Finished [0;1;39msystemd-remount-f…ount Root and Kernel File Systems. [[0;32m OK [0m] Reached target [0;1;39mnetwork-pre…get[0m - Preparation for Network. Mounting [0;1;39msys-fs-fuse-conne…[0m - FUSE Control File System... Mounting [0;1;39msys-kernel-config…ernel Configuration File System... Starting [0;1;39msystemd-journal-f…h Journal to Persistent Storage... Starting [0;1;39msystemd-random-se…ice[0m - Load/Save Random Seed... <46>[ 30.076104] systemd-journald[225]: Received client request to flush runtime journal. Starting [0;1;39msystemd-sysctl.se…ce[0m - Apply Kernel Variables... Starting [0;1;39msystemd-sysusers.…rvice[0m - Create System Users... [[0;32m OK [0m] Mounted [0;1;39msys-fs-fuse-connec…nt[0m - FUSE Control File System. [[0;32m OK [0m] Mounted [0;1;39msys-kernel-config.… Kernel Configuration File System. [[0;32m OK [0m] Finished [0;1;39msystemd-random-se…rvice[0m - Load/Save Random Seed. [[0;32m OK [0m] Finished [0;1;39msystemd-sysctl.service[0m - Apply Kernel Variables. [[0;32m OK [0m] Finished [0;1;39msystemd-sysusers.service[0m - Create System Users. [[0;32m OK [0m] Finished [0;1;39msystemd-journal-f…ush Journal to Persistent Storage. [[0;32m OK [0m] Finished [0;1;39msystemd-udev-trig…e[0m - Coldplug All udev Devices. Starting [0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev... [[0;32m OK [0m] Finished [0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev. [[0;32m OK [0m] Reached target [0;1;39mlocal-fs-pr…reparation for Local File Systems. [[0;32m OK [0m] Reached target [0;1;39mlocal-fs.target[0m - Local File Systems. Starting [0;1;39msystemd-tmpfiles-… Volatile Files and Directories... Starting [0;1;39msystemd-udevd.ser…ger for Device Events and Files... [[0;32m OK [0m] Finished [0;1;39msystemd-tmpfiles-…te Volatile Files and Directories. Starting [0;1;39msystemd-timesyncd… - Network Time Synchronization... Starting [0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP... [[0;32m OK [0m] Started [0;1;39msystemd-udevd.serv…nager for Device Events and Files. [[0;32m OK [0m] Finished [0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP. [[0;32m OK [0m] Found device [0;1;39mdev-ttymxc2.device[0m - /dev/ttymxc2. Starting [0;1;39msystemd-networkd.…ice[0m - Network Configuration... [[0;32m OK [0m] Started [0;1;39msystemd-timesyncd.…0m - Network Time Synchronization. [[0;32m OK [0m] Reached target [0;1;39msysinit.target[0m - System Initialization. [[0;32m OK [0m] Started [0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories. <46>[ 31.373485] systemd-journald[225]: Oldest entry in /var/log/journal/44a983756b26438995e691b947c527e4/system.journal is older than the configured file retention duration (1month), suggesting rotation. [[0;32m OK [0m] Reached targe<46>[ 31.392095] systemd-journald[225]: /var/log/journal/44a983756b26438995e691b947c527e4/system.journal: Journal header limits reached or header out-of-date, rotating. t [0;1;39mtime-set.target[0m - System Time Set. [[0;32m OK [0m] Started [0;1;39mapt-daily.timer[0m - Daily apt download activities. <5>[ 31.456553] cfg80211: Loading compiled-in X.509 certificates for regulatory database [[0;32m OK [0m] Started [0;1;39mapt-daily-upgrade.… apt upgrade and clean activities. [[0;32m OK [0m] Started [0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer. [[0;32m OK [0m] Started [0;1;39me2scrub_all.timer…etadata Check for All Filesystems. [[0;32m OK [0m] Started [0;1;39mfstrim.timer[0m - Discard unused blocks once a week. [[0;32m OK [0m] Reached target [0;1;39mtimers.target[0m - Timer Units. [[0;32m OK [0m] Listening on [0;1;39mdbus.socket[…- D-Bus System Message Bus Socket. <5>[ 31.637775] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7' <5>[ 31.646842] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600' [[0;32m OK [0m] Reached targe<4>[ 31.656113] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2 t [0;1;39msockets.target[0m - S<6>[ 31.667267] cfg80211: failed to load regulatory.db ocket Units. [[0;32m OK [0m] Reached target [0;1;39mbasic.target[0m - Basic System. Starting [0;1;39mdbus.service[0m - D-Bus System Message Bus... Starting [0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots... Starting [0;1;39msystemd-logind.se…ice[0m - User Login Management... [[0;32m OK [0m] Started [0;1;39msystemd-networkd.service[0m - Network Configuration. [[0;32m OK [0m] Started [0;1;39mdbus.service[0m - D-Bus System Message Bus. [[0;32m OK [0m] Reached target [0;1;39mnetwork.target[0m - Network. Starting [0;1;39msystemd-user-sess…vice[0m - Permit User Sessions... Starting [0;1;39msystemd-hostnamed.service[0m - Hostname Service... [[0;32m OK [0m] Finished [0;1;39msystemd-user-sess…ervice[0m - Permit User Sessions. [[0;32m OK [0m] Finished [0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots. [[0;32m OK [0m] Started [0;1;39mgetty@tty1.service[0m - Getty on tty1. [[0;32m OK [0m] Started [0;1;39mserial-getty@ttymx…ice[0m - Serial Getty on ttymxc2. [[0;32m OK [0m] Reached target [0;1;39mgetty.target[0m - Login Prompts. [[0;32m OK [0m] Started [0;1;39msystemd-logind.service[0m - User Login Management. [[0;32m OK [0m] Reached target [0;1;39mmulti-user.target[0m - Multi-User System. [[0;32m OK [0m] Reached target [0;1;39mgraphical.target[0m - Graphical Interface. [[0;32m OK [0m] Reached target [0;1;39musb-gadget.…m - Hardware activated USB gadget. Starting [0;1;39msystemd-update-ut… Record Runlevel Change in UTMP... [[0;32m OK [0m] Started [0;1;39msystemd-hostnamed.service[0m - Hostname Service. [[0;32m OK [0m] Listening on [0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch. Starting [0;1;39malsa-restore.serv…- Save/Restore Sound Card State... [[0;32m OK [0m] Finished [0;1;39malsa-restore.serv…m - Save/Restore Sound Card State. [[0;32m OK [0m] Reached target [0;1;39msound.target[0m - Sound Card. [[0;32m OK [0m] Finished [0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP. Debian GNU/Linux 12 debian-bookworm-arm64 ttymxc2 debian-bookworm-arm64 login: root (automatic login) Linux debian-bookworm-arm64 6.11.0-rc5 #1 SMP PREEMPT Sat Aug 31 04:48:52 UTC 2024 aarch64 The programs included with the Debian GNU/Linux system are free software; the exact distribution terms for each program are described in the individual files in /usr/share/doc/*/copyright. Debian GNU/Linux comes with ABSOLUTELY NO WARRANTY, to the extent permitted by applicable law. / # / # export NFS_ROOTFS='/var/lib/lava/dispatcher/tmp/681567/extract-nfsrootfs-6cbdte9k' export NFS_ROOTFS='/var/lib/lava/dispatcher/tmp/681567/extract-nfsrootfs-6cbdte9k' / # export NFS_SERVER_IP='192.168.56.193' export NFS_SERVER_IP='192.168.56.193' / # # # / # export SHELL=/bin/bash export SHELL=/bin/bash / # . /lava-681567/environment . /lava-681567/environment / # /lava-681567/bin/lava-test-runner /lava-681567/0 /lava-681567/bin/lava-test-runner /lava-681567/0 + export TESTRUN_ID=0_timesync-off + TESTRUN_ID=0_timesync-off + cd /lava-681567/0/tests/0_timesync-off ++ cat uuid + UUID=681567_1.6.2.4.1 + set +x + systemctl stop systemd-timesyncd + set +x + export TESTRUN_ID=1_kselftest-alsa + TESTRUN_ID=1_kselftest-alsa + cd /lava-681567/0/tests/1_kselftest-alsa ++ cat uuid + UUID=681567_1.6.2.4.5 + set +x + cd ./automated/linux/kselftest/ + ./kselftest.sh -c alsa -T '' -t kselftest_armhf.tar.gz -s True -u http://storage.kernelci.org/mainline/master/v6.11-rc5-219-g1934261d89746/arm64/defconfig/gcc-12/kselftest.tar.xz -L '' -S /dev/null -b imx8mp-verdin-nonwifi-dahlia -g mainline -e '' -p /opt/kselftests/mainline/ -n 1 -i 1 -E '' INFO: install_deps skipped --2024-08-31 13:58:40-- http://storage.kernelci.org/mainline/master/v6.11-rc5-219-g1934261d89746/arm64/defconfig/gcc-12/kselftest.tar.xz Resolving storage.kernelci.org (storage.kernelci.org)... 20.171.243.82 Connecting to storage.kernelci.org (storage.kernelci.org)|20.171.243.82|:80... connected. HTTP request sent, awaiting response... 200 OK Length: 6239528 (6.0M) [application/octet-stream] Saving to: 'kselftest_armhf.tar.gz' kselftest_armhf.tar 0%[ ] 0 --.-KB/s kselftest_armhf.tar 0%[ ] 49.92K 179KB/s kselftest_armhf.tar 3%[ ] 218.67K 393KB/s kselftest_armhf.tar 14%[=> ] 893.67K 1.05MB/s kselftest_armhf.tar 58%[==========> ] 3.51M 3.16MB/s kselftest_armhf.tar 100%[===================>] 5.95M 5.19MB/s in 1.1s 2024-08-31 13:58:42 (5.19 MB/s) - 'kselftest_armhf.tar.gz' saved [6239528/6239528] skiplist: ======================================== ======================================== alsa:mixer-test alsa:pcm-test alsa:test-pcmtest-driver ============== Tests to run =============== alsa:mixer-test alsa:pcm-test alsa:test-pcmtest-driver ===========End Tests to run =============== shardfile-alsa pass <12>[ 61.773085] kselftest: Running tests in alsa TAP version 13 1..3 # timeout set to 45 # selftests: alsa: mixer-test # TAP version 13 # # Card 0/verdinwm8904 - verdin-wm8904 (verdin-wm8904) # 1..287 # ok 1 get_value.verdinwm8904.40 # # verdinwm8904.40 LINER Mux # ok 2 name.verdinwm8904.40 # ok 3 write_default.verdinwm8904.40 # ok 4 write_valid.verdinwm8904.40 # ok 5 write_invalid.verdinwm8904.40 # ok 6 event_missing.verdinwm8904.40 # ok 7 event_spurious.verdinwm8904.40 # ok 8 get_value.verdinwm8904.39 # # verdinwm8904.39 LINEL Mux # ok 9 name.verdinwm8904.39 # ok 10 write_default.verdinwm8904.39 # ok 11 write_valid.verdinwm8904.39 # ok 12 write_invalid.verdinwm8904.39 # ok 13 event_missing.verdinwm8904.39 # ok 14 event_spurious.verdinwm8904.39 # ok 15 get_value.verdinwm8904.38 # # verdinwm8904.38 HPR Mux # ok 16 name.verdinwm8904.38 # ok 17 write_default.verdinwm8904.38 # ok 18 write_valid.verdinwm8904.38 # ok 19 write_invalid.verdinwm8904.38 # ok 20 event_missing.verdinwm8904.38 # ok 21 event_spurious.verdinwm8904.38 # ok 22 get_value.verdinwm8904.37 # # verdinwm8904.37 HPL Mux # ok 23 name.verdinwm8904.37 # ok 24 write_default.verdinwm8904.37 # ok 25 write_valid.verdinwm8904.37 # ok 26 write_invalid.verdinwm8904.37 # ok 27 event_missing.verdinwm8904.37 # ok 28 event_spurious.verdinwm8904.37 # ok 29 get_value.verdinwm8904.36 # # verdinwm8904.36 Right Sidetone # ok 30 name.verdinwm8904.36 # ok 31 write_default.verdinwm8904.36 # ok 32 write_valid.verdinwm8904.36 # ok 33 write_invalid.verdinwm8904.36 # ok 34 event_missing.verdinwm8904.36 # ok 35 event_spurious.verdinwm8904.36 # ok 36 get_value.verdinwm8904.35 # # verdinwm8904.35 Left Sidetone # ok 37 name.verdinwm8904.35 # ok 38 write_default.verdinwm8904.35 # ok 39 write_valid.verdinwm8904.35 # ok 40 write_invalid.verdinwm8904.35 # ok 41 event_missing.verdinwm8904.35 # ok 42 event_spurious.verdinwm8904.35 # ok 43 get_value.verdinwm8904.34 # # verdinwm8904.34 DACR Mux # ok 44 name.verdinwm8904.34 # ok 45 write_default.verdinwm8904.34 # ok 46 write_valid.verdinwm8904.34 # ok 47 write_invalid.verdinwm8904.34 # ok 48 event_missing.verdinwm8904.34 # ok 49 event_spurious.verdinwm8904.34 # ok 50 get_value.verdinwm8904.33 # # verdinwm8904.33 DACL Mux # ok 51 name.verdinwm8904.33 # ok 52 write_default.verdinwm8904.33 # ok 53 write_valid.verdinwm8904.33 # ok 54 write_invalid.verdinwm8904.33 # ok 55 event_missing.verdinwm8904.33 # ok 56 event_spurious.verdinwm8904.33 # ok 57 get_value.verdinwm8904.32 # # verdinwm8904.32 AIFOUTR Mux # ok 58 name.verdinwm8904.32 # ok 59 write_default.verdinwm8904.32 # ok 60 write_valid.verdinwm8904.32 # ok 61 write_invalid.verdinwm8904.32 # ok 62 event_missing.verdinwm8904.32 # ok 63 event_spurious.verdinwm8904.32 # ok 64 get_value.verdinwm8904.31 # # verdinwm8904.31 AIFOUTL Mux # ok 65 name.verdinwm8904.31 # ok 66 write_default.verdinwm8904.31 # ok 67 write_valid.verdinwm8904.31 # ok 68 write_invalid.verdinwm8904.31 # ok 69 event_missing.verdinwm8904.31 # ok 70 event_spurious.verdinwm8904.31 # ok 71 get_value.verdinwm8904.30 # # verdinwm8904.30 Right Capture Mode # ok 72 name.verdinwm8904.30 # ok 73 write_default.verdinwm8904.30 # ok 74 write_valid.verdinwm8904.30 # ok 75 write_invalid.verdinwm8904.30 # ok 76 event_missing.verdinwm8904.30 # ok 77 event_spurious.verdinwm8904.30 # ok 78 get_value.verdinwm8904.29 # # verdinwm8904.29 Right Capture Inverting Mux # ok 79 name.verdinwm8904.29 # ok 80 write_default.verdinwm8904.29 # ok 81 write_valid.verdinwm8904.29 # ok 82 write_invalid.verdinwm8904.29 # ok 83 event_missing.verdinwm8904.29 # ok 84 event_spurious.verdinwm8904.29 # ok 85 get_value.verdinwm8904.28 # # verdinwm8904.28 Right Capture Mux # ok 86 name.verdinwm8904.28 # ok 87 write_default.verdinwm8904.28 # ok 88 write_valid.verdinwm8904.28 # ok 89 write_invalid.verdinwm8904.28 # ok 90 event_missing.verdinwm8904.28 # ok 91 event_spurious.verdinwm8904.28 # ok 92 get_value.verdinwm8904.27 # # verdinwm8904.27 Left Capture Mode # ok 93 name.verdinwm8904.27 # ok 94 write_default.verdinwm8904.27 # ok 95 write_valid.verdinwm8904.27 # ok 96 write_invalid.verdinwm8904.27 # ok 97 event_missing.verdinwm8904.27 # ok 98 event_spurious.verdinwm8904.27 # ok 99 get_value.verdinwm8904.26 # # verdinwm8904.26 Left Capture Inverting Mux # ok 100 name.verdinwm8904.26 # ok 101 write_default.verdinwm8904.26 # ok 102 write_valid.verdinwm8904.26 # ok 103 write_invalid.verdinwm8904.26 # ok 104 event_missing.verdinwm8904.26 # ok 105 event_spurious.verdinwm8904.26 # ok 106 get_value.verdinwm8904.25 # # verdinwm8904.25 Left Capture Mux # ok 107 name.verdinwm8904.25 # ok 108 write_default.verdinwm8904.25 # ok 109 write_valid.verdinwm8904.25 # ok 110 write_invalid.verdinwm8904.25 # ok 111 event_missing.verdinwm8904.25 # ok 112 event_spurious.verdinwm8904.25 # ok 113 get_value.verdinwm8904.24 # # verdinwm8904.24 Digital Sidetone Volume # ok 114 name.verdinwm8904.24 # ok 115 write_default.verdinwm8904.24 # ok 116 write_valid.verdinwm8904.24 # ok 117 write_invalid.verdinwm8904.24 # ok 118 event_missing.verdinwm8904.24 # ok 119 event_spurious.verdinwm8904.24 # ok 120 get_value.verdinwm8904.23 # # verdinwm8904.23 DAC Deemphasis Switch # ok 121 name.verdinwm8904.23 # ok 122 write_default.verdinwm8904.23 # ok 123 write_valid.verdinwm8904.23 # ok 124 write_invalid.verdinwm8904.23 # ok 125 event_missing.verdinwm8904.23 # ok 126 event_spurious.verdinwm8904.23 # ok 127 get_value.verdinwm8904.22 # # verdinwm8904.22 DAC OSRx2 Switch # ok 128 name.verdinwm8904.22 # ok 129 write_default.verdinwm8904.22 # ok 130 write_valid.verdinwm8904.22 # ok 131 write_invalid.verdinwm8904.22 # ok 132 event_missing.verdinwm8904.22 # ok 133 event_spurious.verdinwm8904.22 # ok 134 get_value.verdinwm8904.21 # # verdinwm8904.21 DRC Path # ok 135 name.verdinwm8904.21 # ok 136 write_default.verdinwm8904.21 # ok 137 write_valid.verdinwm8904.21 # ok 138 write_invalid.verdinwm8904.21 # ok 139 event_missing.verdinwm8904.21 # ok 140 event_spurious.verdinwm8904.21 # ok 141 get_value.verdinwm8904.20 # # verdinwm8904.20 DRC Switch # ok 142 name.verdinwm8904.20 # ok 143 write_default.verdinwm8904.20 # ok 144 write_valid.verdinwm8904.20 # ok 145 write_invalid.verdinwm8904.20 # ok 146 event_missing.verdinwm8904.20 # ok 147 event_spurious.verdinwm8904.20 # ok 148 get_value.verdinwm8904.19 # # verdinwm8904.19 EQ Switch # ok 149 name.verdinwm8904.19 # ok 150 write_default.verdinwm8904.19 # ok 151 write_valid.verdinwm8904.19 # ok 152 write_invalid.verdinwm8904.19 # ok 153 event_missing.verdinwm8904.19 # ok 154 event_spurious.verdinwm8904.19 # ok 155 get_value.verdinwm8904.18 # # verdinwm8904.18 Line Output ZC Switch # ok 156 name.verdinwm8904.18 # ok 157 write_default.verdinwm8904.18 # ok 158 write_valid.verdinwm8904.18 # ok 159 write_invalid.verdinwm8904.18 # ok 160 event_missing.verdinwm8904.18 # ok 161 event_spurious.verdinwm8904.18 # ok 162 get_value.verdinwm8904.17 # # verdinwm8904.17 Line Output Switch # ok 163 name.verdinwm8904.17 # ok 164 write_default.verdinwm8904.17 # ok 165 write_valid.verdinwm8904.17 # ok 166 write_invalid.verdinwm8904.17 # ok 167 event_missing.verdinwm8904.17 # ok 168 event_spurious.verdinwm8904.17 # ok 169 get_value.verdinwm8904.16 # # verdinwm8904.16 Line Output Volume # ok 170 name.verdinwm8904.16 # ok 171 write_default.verdinwm8904.16 # ok 172 write_valid.verdinwm8904.16 # ok 173 write_invalid.verdinwm8904.16 # ok 174 event_missing.verdinwm8904.16 # ok 175 event_spurious.verdinwm8904.16 # ok 176 get_value.verdinwm8904.15 # # verdinwm8904.15 Headphone ZC Switch # ok 177 name.verdinwm8904.15 # ok 178 write_default.verdinwm8904.15 # ok 179 write_valid.verdinwm8904.15 # ok 180 write_invalid.verdinwm8904.15 # ok 181 event_missing.verdinwm8904.15 # ok 182 event_spurious.verdinwm8904.15 # ok 183 get_value.verdinwm8904.14 # # verdinwm8904.14 Headphone Switch # ok 184 name.verdinwm8904.14 # ok 185 write_default.verdinwm8904.14 # ok 186 write_valid.verdinwm8904.14 # ok 187 write_invalid.verdinwm8904.14 # ok 188 event_missing.verdinwm8904.14 # ok 189 event_spurious.verdinwm8904.14 # ok 190 get_value.verdinwm8904.13 # # verdinwm8904.13 Headphone Volume # ok 191 name.verdinwm8904.13 # ok 192 write_default.verdinwm8904.13 # ok 193 write_valid.verdinwm8904.13 # ok 194 write_invalid.verdinwm8904.13 # ok 195 event_missing.verdinwm8904.13 # ok 196 event_spurious.verdinwm8904.13 # ok 197 get_value.verdinwm8904.12 # # verdinwm8904.12 Digital Playback Volume # ok 198 name.verdinwm8904.12 # ok 199 write_default.verdinwm8904.12 # ok 200 write_valid.verdinwm8904.12 # ok 201 write_invalid.verdinwm8904.12 # ok 202 event_missing.verdinwm8904.12 # ok 203 event_spurious.verdinwm8904.12 # ok 204 get_value.verdinwm8904.11 # # verdinwm8904.11 Digital Playback Boost Volume # ok 205 name.verdinwm8904.11 # ok 206 write_default.verdinwm8904.11 # ok 207 write_valid.verdinwm8904.11 # ok 208 write_invalid.verdinwm8904.11 # ok 209 event_missing.verdinwm8904.11 # ok 210 event_spurious.verdinwm8904.11 # ok 211 get_value.verdinwm8904.10 # # verdinwm8904.10 ADC 128x OSR Switch # ok 212 name.verdinwm8904.10 # ok 213 write_default.verdinwm8904.10 # ok 214 write_valid.verdinwm8904.10 # ok 215 write_invalid.verdinwm8904.10 # ok 216 event_missing.verdinwm8904.10 # ok 217 event_spurious.verdinwm8904.10 # ok 218 get_value.verdinwm8904.9 # # verdinwm8904.9 High Pass Filter Mode # ok 219 name.verdinwm8904.9 # ok 220 write_default.verdinwm8904.9 # ok 221 write_valid.verdinwm8904.9 # ok 222 write_invalid.verdinwm8904.9 # ok 223 event_missing.verdinwm8904.9 # ok 224 event_spurious.verdinwm8904.9 # ok 225 get_value.verdinwm8904.8 # # verdinwm8904.8 High Pass Filter Switch # ok 226 name.verdinwm8904.8 # ok 227 write_default.verdinwm8904.8 # ok 228 write_valid.verdinwm8904.8 # ok 229 write_invalid.verdinwm8904.8 # ok 230 event_missing.verdinwm8904.8 # ok 231 event_spurious.verdinwm8904.8 # ok 232 get_value.verdinwm8904.7 # # verdinwm8904.7 Capture Switch # ok 233 name.verdinwm8904.7 # ok 234 write_default.verdinwm8904.7 # ok 235 write_valid.verdinwm8904.7 # ok 236 write_invalid.verdinwm8904.7 # ok 237 event_missing.verdinwm8904.7 # ok 238 event_spurious.verdinwm8904.7 # ok 239 get_value.verdinwm8904.6 # # verdinwm8904.6 Capture Volume # ok 240 name.verdinwm8904.6 # ok 241 write_default.verdinwm8904.6 # ok 242 write_valid.verdinwm8904.6 # ok 243 write_invalid.verdinwm8904.6 # ok 244 event_missing.verdinwm8904.6 # ok 245 event_spurious.verdinwm8904.6 # ok 246 get_value.verdinwm8904.5 # # verdinwm8904.5 Digital Capture Volume # ok 247 name.verdinwm8904.5 # ok 248 write_default.verdinwm8904.5 # ok 249 write_valid.verdinwm8904.5 # ok 250 write_invalid.verdinwm8904.5 # ok 251 event_missing.verdinwm8904.5 # ok 252 event_spurious.verdinwm8904.5 # ok 253 get_value.verdinwm8904.4 # # verdinwm8904.4 EQ5 Volume # ok 254 name.verdinwm8904.4 # ok 255 write_default.verdinwm8904.4 # ok 256 write_valid.verdinwm8904.4 # ok 257 write_invalid.verdinwm8904.4 # ok 258 event_missing.verdinwm8904.4 # ok 259 event_spurious.verdinwm8904.4 # ok 260 get_value.verdinwm8904.3 # # verdinwm8904.3 EQ4 Volume # ok 261 name.verdinwm8904.3 # ok 262 write_default.verdinwm8904.3 # ok 263 write_valid.verdinwm8904.3 # ok 264 write_invalid.verdinwm8904.3 # ok 265 event_missing.verdinwm8904.3 # ok 266 event_spurious.verdinwm8904.3 # ok 267 get_value.verdinwm8904.2 # # verdinwm8904.2 EQ3 Volume # ok 268 name.verdinwm8904.2 # ok 269 write_default.verdinwm8904.2 # ok 270 write_valid.verdinwm8904.2 # ok 271 write_invalid.verdinwm8904.2 # ok 272 event_missing.verdinwm8904.2 # ok 273 event_spurious.verdinwm8904.2 # ok 274 get_value.verdinwm8904.1 # # verdinwm8904.1 EQ2 Volume # ok 275 name.verdinwm8904.1 # ok 276 write_default.verdinwm8904.1 # ok 277 write_valid.verdinwm8904.1 # ok 278 write_invalid.verdinwm8904.1 # ok 279 event_missing.verdinwm8904.1 # ok 280 event_spurious.verdinwm8904.1 # ok 281 get_value.verdinwm8904.0 # # verdinwm8904.0 EQ1 Volume # ok 282 name.verdinwm8904.0 # ok 283 write_default.verdinwm8904.0 # ok 284 write_valid.verdinwm8904.0 # ok 285 write_invalid.verdinwm8904.0 # ok 286 event_missing.verdinwm8904.0 # ok 287 event_spurious.verdinwm8904.0 # # Totals: pass:287 fail:0 xfail:0 xpass:0 skip:0 error:0 ok 1 selftests: alsa: mixer-test # timeout set to 45 # selftests: alsa: pcm-test # TAP version 13 # # Card 0/verdinwm8904 - verdin-wm8904 (verdin-wm8904) # # verdinwm8904.0 - sai-tx-rx-wm8904-hifi wm8904-hifi-0 # # verdinwm8904.0 - sai-tx-rx-wm8904-hifi wm8904-hifi-0 # 1..14 # # default.time1.verdinwm8904.0.0.CAPTURE - 8kHz mono large periods # ok 1 # SKIP default.time1.verdinwm8904.0.0.CAPTURE # # snd_pcm_hw_params_set_channels 1: Invalid argument # # default.time2.verdinwm8904.0.0.CAPTURE - 8kHz stereo large periods # # default.time2.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.8000.2.8000.32000 sw_params.8000 # ok 2 default.time2.verdinwm8904.0.0.CAPTURE # # default.time3.verdinwm8904.0.0.CAPTURE - 44.1kHz stereo large periods # # default.time3.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.44100.2.16383.131064 sw_params.16383 # not ok 3 default.time3.verdinwm8904.0.0.CAPTURE # # time mismatch: expected 2000ms got 2229 # # default.time4.verdinwm8904.0.0.CAPTURE - 48kHz stereo small periods # # default.time4.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.48000.2.512.4096 sw_params.512 # ok 4 default.time4.verdinwm8904.0.0.CAPTURE # # default.time5.verdinwm8904.0.0.CAPTURE - 48kHz stereo large periods # # default.time5.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.48000.2.16383.131064 sw_params.16383 # ok 5 default.time5.verdinwm8904.0.0.CAPTURE # # default.time6.verdinwm8904.0.0.CAPTURE - 48kHz 6 channel large periods # # default.time6.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.48000.2.16383.131064 sw_params.16383 # ok 6 default.time6.verdinwm8904.0.0.CAPTURE # # default.time7.verdinwm8904.0.0.CAPTURE - 96kHz stereo large periods # # default.time7.verdinwm8904.0.0.CAPTURE hw_params.RW_INTERLEAVED.S16_LE.96000.2.16383.131064 sw_params.16383 # ok 7 default.time7.verdinwm8904.0.0.CAPTURE # # default.time1.verdinwm8904.0.0.PLAYBACK - 8kHz mono large periods # ok 8 # SKIP default.time1.verdinwm8904.0.0.PLAYBACK # # snd_pcm_hw_params_set_channels 1: Invalid argument # # default.time2.verdinwm8904.0.0.PLAYBACK - 8kHz stereo large periods # # default.time2.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.8000.2.8000.32000 sw_params.32000 # ok 9 default.time2.verdinwm8904.0.0.PLAYBACK # # default.time3.verdinwm8904.0.0.PLAYBACK - 44.1kHz stereo large periods # # default.time3.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.44100.2.16383.131064 sw_params.131064 # not ok 10 default.time3.verdinwm8904.0.0.PLAYBACK # # time mismatch: expected 2000ms got 2228 # # default.time4.verdinwm8904.0.0.PLAYBACK - 48kHz stereo small periods # # default.time4.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.48000.2.512.4096 sw_params.4096 # ok 11 default.time4.verdinwm8904.0.0.PLAYBACK # # default.time5.verdinwm8904.0.0.PLAYBACK - 48kHz stereo large periods # # default.time5.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.48000.2.16383.131064 sw_params.131064 # ok 12 default.time5.verdinwm8904.0.0.PLAYBACK # # default.time6.verdinwm8904.0.0.PLAYBACK - 48kHz 6 channel large periods # # default.time6.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.48000.2.16383.131064 sw_params.131064 # ok 13 default.time6.verdinwm8904.0.0.PLAYBACK # # default.time7.verdinwm8904.0.0.PLAYBACK - 96kHz stereo large periods # # default.time7.verdinwm8904.0.0.PLAYBACK hw_params.RW_INTERLEAVED.S16_LE.96000.2.16383.131064 sw_params.131064 # ok 14 default.time7.verdinwm8904.0.0.PLAYBACK # # Totals: pass:10 fail:2 xfail:0 xpass:0 skip:2 error:0 ok 2 selftests: alsa: pcm-test # timeout set to 45 # selftests: alsa: test-pcmtest-driver # TAP version 13 # 1..5 # # Starting 5 tests from 1 test cases. # # RUN pcmtest.playback ... # # SKIP Can't read patterns. Probably, module isn't loaded # # OK pcmtest.playback # ok 1 pcmtest.playback # SKIP Can't read patterns. Probably, module isn't loaded # # RUN pcmtest.capture ... # # SKIP Can't read patterns. Probably, module isn't loaded # # OK pcmtest.capture # ok 2 pcmtest.capture # SKIP Can't read patterns. Probably, module isn't loaded # # RUN pcmtest.ni_capture ... # # SKIP Can't read patterns. Probably, module isn't loaded # # OK pcmtest.ni_capture # ok 3 pcmtest.ni_capture # SKIP Can't read patterns. Probably, module isn't loaded # # RUN pcmtest.ni_playback ... # # SKIP Can't read patterns. Probably, module isn't loaded # # OK pcmtest.ni_playback # ok 4 pcmtest.ni_playback # SKIP Can't read patterns. Probably, module isn't loaded # # RUN pcmtest.reset_ioctl ... # # SKIP Can't read patterns. Probably, module isn't loaded # # OK pcmtest.reset_ioctl # ok 5 pcmtest.reset_ioctl # SKIP Can't read patterns. Probably, module isn't loaded # # PASSED: 5 / 5 tests passed. # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:5 error:0 ok 3 selftests: alsa: test-pcmtest-driver alsa_mixer-test_get_value_verdinwm8904_40 pass alsa_mixer-test_name_verdinwm8904_40 pass alsa_mixer-test_write_default_verdinwm8904_40 pass alsa_mixer-test_write_valid_verdinwm8904_40 pass alsa_mixer-test_write_invalid_verdinwm8904_40 pass alsa_mixer-test_event_missing_verdinwm8904_40 pass alsa_mixer-test_event_spurious_verdinwm8904_40 pass alsa_mixer-test_get_value_verdinwm8904_39 pass alsa_mixer-test_name_verdinwm8904_39 pass alsa_mixer-test_write_default_verdinwm8904_39 pass alsa_mixer-test_write_valid_verdinwm8904_39 pass alsa_mixer-test_write_invalid_verdinwm8904_39 pass alsa_mixer-test_event_missing_verdinwm8904_39 pass alsa_mixer-test_event_spurious_verdinwm8904_39 pass alsa_mixer-test_get_value_verdinwm8904_38 pass alsa_mixer-test_name_verdinwm8904_38 pass alsa_mixer-test_write_default_verdinwm8904_38 pass alsa_mixer-test_write_valid_verdinwm8904_38 pass alsa_mixer-test_write_invalid_verdinwm8904_38 pass alsa_mixer-test_event_missing_verdinwm8904_38 pass alsa_mixer-test_event_spurious_verdinwm8904_38 pass alsa_mixer-test_get_value_verdinwm8904_37 pass alsa_mixer-test_name_verdinwm8904_37 pass alsa_mixer-test_write_default_verdinwm8904_37 pass alsa_mixer-test_write_valid_verdinwm8904_37 pass alsa_mixer-test_write_invalid_verdinwm8904_37 pass alsa_mixer-test_event_missing_verdinwm8904_37 pass alsa_mixer-test_event_spurious_verdinwm8904_37 pass alsa_mixer-test_get_value_verdinwm8904_36 pass alsa_mixer-test_name_verdinwm8904_36 pass alsa_mixer-test_write_default_verdinwm8904_36 pass alsa_mixer-test_write_valid_verdinwm8904_36 pass alsa_mixer-test_write_invalid_verdinwm8904_36 pass alsa_mixer-test_event_missing_verdinwm8904_36 pass alsa_mixer-test_event_spurious_verdinwm8904_36 pass alsa_mixer-test_get_value_verdinwm8904_35 pass alsa_mixer-test_name_verdinwm8904_35 pass alsa_mixer-test_write_default_verdinwm8904_35 pass alsa_mixer-test_write_valid_verdinwm8904_35 pass alsa_mixer-test_write_invalid_verdinwm8904_35 pass alsa_mixer-test_event_missing_verdinwm8904_35 pass alsa_mixer-test_event_spurious_verdinwm8904_35 pass alsa_mixer-test_get_value_verdinwm8904_34 pass alsa_mixer-test_name_verdinwm8904_34 pass alsa_mixer-test_write_default_verdinwm8904_34 pass alsa_mixer-test_write_valid_verdinwm8904_34 pass alsa_mixer-test_write_invalid_verdinwm8904_34 pass alsa_mixer-test_event_missing_verdinwm8904_34 pass alsa_mixer-test_event_spurious_verdinwm8904_34 pass alsa_mixer-test_get_value_verdinwm8904_33 pass alsa_mixer-test_name_verdinwm8904_33 pass alsa_mixer-test_write_default_verdinwm8904_33 pass alsa_mixer-test_write_valid_verdinwm8904_33 pass alsa_mixer-test_write_invalid_verdinwm8904_33 pass alsa_mixer-test_event_missing_verdinwm8904_33 pass alsa_mixer-test_event_spurious_verdinwm8904_33 pass alsa_mixer-test_get_value_verdinwm8904_32 pass alsa_mixer-test_name_verdinwm8904_32 pass alsa_mixer-test_write_default_verdinwm8904_32 pass alsa_mixer-test_write_valid_verdinwm8904_32 pass alsa_mixer-test_write_invalid_verdinwm8904_32 pass alsa_mixer-test_event_missing_verdinwm8904_32 pass alsa_mixer-test_event_spurious_verdinwm8904_32 pass alsa_mixer-test_get_value_verdinwm8904_31 pass alsa_mixer-test_name_verdinwm8904_31 pass alsa_mixer-test_write_default_verdinwm8904_31 pass alsa_mixer-test_write_valid_verdinwm8904_31 pass alsa_mixer-test_write_invalid_verdinwm8904_31 pass alsa_mixer-test_event_missing_verdinwm8904_31 pass alsa_mixer-test_event_spurious_verdinwm8904_31 pass alsa_mixer-test_get_value_verdinwm8904_30 pass alsa_mixer-test_name_verdinwm8904_30 pass alsa_mixer-test_write_default_verdinwm8904_30 pass alsa_mixer-test_write_valid_verdinwm8904_30 pass alsa_mixer-test_write_invalid_verdinwm8904_30 pass alsa_mixer-test_event_missing_verdinwm8904_30 pass alsa_mixer-test_event_spurious_verdinwm8904_30 pass alsa_mixer-test_get_value_verdinwm8904_29 pass alsa_mixer-test_name_verdinwm8904_29 pass alsa_mixer-test_write_default_verdinwm8904_29 pass alsa_mixer-test_write_valid_verdinwm8904_29 pass alsa_mixer-test_write_invalid_verdinwm8904_29 pass alsa_mixer-test_event_missing_verdinwm8904_29 pass alsa_mixer-test_event_spurious_verdinwm8904_29 pass alsa_mixer-test_get_value_verdinwm8904_28 pass alsa_mixer-test_name_verdinwm8904_28 pass alsa_mixer-test_write_default_verdinwm8904_28 pass alsa_mixer-test_write_valid_verdinwm8904_28 pass alsa_mixer-test_write_invalid_verdinwm8904_28 pass alsa_mixer-test_event_missing_verdinwm8904_28 pass alsa_mixer-test_event_spurious_verdinwm8904_28 pass alsa_mixer-test_get_value_verdinwm8904_27 pass alsa_mixer-test_name_verdinwm8904_27 pass alsa_mixer-test_write_default_verdinwm8904_27 pass alsa_mixer-test_write_valid_verdinwm8904_27 pass alsa_mixer-test_write_invalid_verdinwm8904_27 pass alsa_mixer-test_event_missing_verdinwm8904_27 pass alsa_mixer-test_event_spurious_verdinwm8904_27 pass alsa_mixer-test_get_value_verdinwm8904_26 pass alsa_mixer-test_name_verdinwm8904_26 pass alsa_mixer-test_write_default_verdinwm8904_26 pass alsa_mixer-test_write_valid_verdinwm8904_26 pass alsa_mixer-test_write_invalid_verdinwm8904_26 pass alsa_mixer-test_event_missing_verdinwm8904_26 pass alsa_mixer-test_event_spurious_verdinwm8904_26 pass alsa_mixer-test_get_value_verdinwm8904_25 pass alsa_mixer-test_name_verdinwm8904_25 pass alsa_mixer-test_write_default_verdinwm8904_25 pass alsa_mixer-test_write_valid_verdinwm8904_25 pass alsa_mixer-test_write_invalid_verdinwm8904_25 pass alsa_mixer-test_event_missing_verdinwm8904_25 pass alsa_mixer-test_event_spurious_verdinwm8904_25 pass alsa_mixer-test_get_value_verdinwm8904_24 pass alsa_mixer-test_name_verdinwm8904_24 pass alsa_mixer-test_write_default_verdinwm8904_24 pass alsa_mixer-test_write_valid_verdinwm8904_24 pass alsa_mixer-test_write_invalid_verdinwm8904_24 pass alsa_mixer-test_event_missing_verdinwm8904_24 pass alsa_mixer-test_event_spurious_verdinwm8904_24 pass alsa_mixer-test_get_value_verdinwm8904_23 pass alsa_mixer-test_name_verdinwm8904_23 pass alsa_mixer-test_write_default_verdinwm8904_23 pass alsa_mixer-test_write_valid_verdinwm8904_23 pass alsa_mixer-test_write_invalid_verdinwm8904_23 pass alsa_mixer-test_event_missing_verdinwm8904_23 pass alsa_mixer-test_event_spurious_verdinwm8904_23 pass alsa_mixer-test_get_value_verdinwm8904_22 pass alsa_mixer-test_name_verdinwm8904_22 pass alsa_mixer-test_write_default_verdinwm8904_22 pass alsa_mixer-test_write_valid_verdinwm8904_22 pass alsa_mixer-test_write_invalid_verdinwm8904_22 pass alsa_mixer-test_event_missing_verdinwm8904_22 pass alsa_mixer-test_event_spurious_verdinwm8904_22 pass alsa_mixer-test_get_value_verdinwm8904_21 pass alsa_mixer-test_name_verdinwm8904_21 pass alsa_mixer-test_write_default_verdinwm8904_21 pass alsa_mixer-test_write_valid_verdinwm8904_21 pass alsa_mixer-test_write_invalid_verdinwm8904_21 pass alsa_mixer-test_event_missing_verdinwm8904_21 pass alsa_mixer-test_event_spurious_verdinwm8904_21 pass alsa_mixer-test_get_value_verdinwm8904_20 pass alsa_mixer-test_name_verdinwm8904_20 pass alsa_mixer-test_write_default_verdinwm8904_20 pass alsa_mixer-test_write_valid_verdinwm8904_20 pass alsa_mixer-test_write_invalid_verdinwm8904_20 pass alsa_mixer-test_event_missing_verdinwm8904_20 pass alsa_mixer-test_event_spurious_verdinwm8904_20 pass alsa_mixer-test_get_value_verdinwm8904_19 pass alsa_mixer-test_name_verdinwm8904_19 pass alsa_mixer-test_write_default_verdinwm8904_19 pass alsa_mixer-test_write_valid_verdinwm8904_19 pass alsa_mixer-test_write_invalid_verdinwm8904_19 pass alsa_mixer-test_event_missing_verdinwm8904_19 pass alsa_mixer-test_event_spurious_verdinwm8904_19 pass alsa_mixer-test_get_value_verdinwm8904_18 pass alsa_mixer-test_name_verdinwm8904_18 pass alsa_mixer-test_write_default_verdinwm8904_18 pass alsa_mixer-test_write_valid_verdinwm8904_18 pass alsa_mixer-test_write_invalid_verdinwm8904_18 pass alsa_mixer-test_event_missing_verdinwm8904_18 pass alsa_mixer-test_event_spurious_verdinwm8904_18 pass alsa_mixer-test_get_value_verdinwm8904_17 pass alsa_mixer-test_name_verdinwm8904_17 pass alsa_mixer-test_write_default_verdinwm8904_17 pass alsa_mixer-test_write_valid_verdinwm8904_17 pass alsa_mixer-test_write_invalid_verdinwm8904_17 pass alsa_mixer-test_event_missing_verdinwm8904_17 pass alsa_mixer-test_event_spurious_verdinwm8904_17 pass alsa_mixer-test_get_value_verdinwm8904_16 pass alsa_mixer-test_name_verdinwm8904_16 pass alsa_mixer-test_write_default_verdinwm8904_16 pass alsa_mixer-test_write_valid_verdinwm8904_16 pass alsa_mixer-test_write_invalid_verdinwm8904_16 pass alsa_mixer-test_event_missing_verdinwm8904_16 pass alsa_mixer-test_event_spurious_verdinwm8904_16 pass alsa_mixer-test_get_value_verdinwm8904_15 pass alsa_mixer-test_name_verdinwm8904_15 pass alsa_mixer-test_write_default_verdinwm8904_15 pass alsa_mixer-test_write_valid_verdinwm8904_15 pass alsa_mixer-test_write_invalid_verdinwm8904_15 pass alsa_mixer-test_event_missing_verdinwm8904_15 pass alsa_mixer-test_event_spurious_verdinwm8904_15 pass alsa_mixer-test_get_value_verdinwm8904_14 pass alsa_mixer-test_name_verdinwm8904_14 pass alsa_mixer-test_write_default_verdinwm8904_14 pass alsa_mixer-test_write_valid_verdinwm8904_14 pass alsa_mixer-test_write_invalid_verdinwm8904_14 pass alsa_mixer-test_event_missing_verdinwm8904_14 pass alsa_mixer-test_event_spurious_verdinwm8904_14 pass alsa_mixer-test_get_value_verdinwm8904_13 pass alsa_mixer-test_name_verdinwm8904_13 pass alsa_mixer-test_write_default_verdinwm8904_13 pass alsa_mixer-test_write_valid_verdinwm8904_13 pass alsa_mixer-test_write_invalid_verdinwm8904_13 pass alsa_mixer-test_event_missing_verdinwm8904_13 pass alsa_mixer-test_event_spurious_verdinwm8904_13 pass alsa_mixer-test_get_value_verdinwm8904_12 pass alsa_mixer-test_name_verdinwm8904_12 pass alsa_mixer-test_write_default_verdinwm8904_12 pass alsa_mixer-test_write_valid_verdinwm8904_12 pass alsa_mixer-test_write_invalid_verdinwm8904_12 pass alsa_mixer-test_event_missing_verdinwm8904_12 pass alsa_mixer-test_event_spurious_verdinwm8904_12 pass alsa_mixer-test_get_value_verdinwm8904_11 pass alsa_mixer-test_name_verdinwm8904_11 pass alsa_mixer-test_write_default_verdinwm8904_11 pass alsa_mixer-test_write_valid_verdinwm8904_11 pass alsa_mixer-test_write_invalid_verdinwm8904_11 pass alsa_mixer-test_event_missing_verdinwm8904_11 pass alsa_mixer-test_event_spurious_verdinwm8904_11 pass alsa_mixer-test_get_value_verdinwm8904_10 pass alsa_mixer-test_name_verdinwm8904_10 pass alsa_mixer-test_write_default_verdinwm8904_10 pass alsa_mixer-test_write_valid_verdinwm8904_10 pass alsa_mixer-test_write_invalid_verdinwm8904_10 pass alsa_mixer-test_event_missing_verdinwm8904_10 pass alsa_mixer-test_event_spurious_verdinwm8904_10 pass alsa_mixer-test_get_value_verdinwm8904_9 pass alsa_mixer-test_name_verdinwm8904_9 pass alsa_mixer-test_write_default_verdinwm8904_9 pass alsa_mixer-test_write_valid_verdinwm8904_9 pass alsa_mixer-test_write_invalid_verdinwm8904_9 pass alsa_mixer-test_event_missing_verdinwm8904_9 pass alsa_mixer-test_event_spurious_verdinwm8904_9 pass alsa_mixer-test_get_value_verdinwm8904_8 pass alsa_mixer-test_name_verdinwm8904_8 pass alsa_mixer-test_write_default_verdinwm8904_8 pass alsa_mixer-test_write_valid_verdinwm8904_8 pass alsa_mixer-test_write_invalid_verdinwm8904_8 pass alsa_mixer-test_event_missing_verdinwm8904_8 pass alsa_mixer-test_event_spurious_verdinwm8904_8 pass alsa_mixer-test_get_value_verdinwm8904_7 pass alsa_mixer-test_name_verdinwm8904_7 pass alsa_mixer-test_write_default_verdinwm8904_7 pass alsa_mixer-test_write_valid_verdinwm8904_7 pass alsa_mixer-test_write_invalid_verdinwm8904_7 pass alsa_mixer-test_event_missing_verdinwm8904_7 pass alsa_mixer-test_event_spurious_verdinwm8904_7 pass alsa_mixer-test_get_value_verdinwm8904_6 pass alsa_mixer-test_name_verdinwm8904_6 pass alsa_mixer-test_write_default_verdinwm8904_6 pass alsa_mixer-test_write_valid_verdinwm8904_6 pass alsa_mixer-test_write_invalid_verdinwm8904_6 pass alsa_mixer-test_event_missing_verdinwm8904_6 pass alsa_mixer-test_event_spurious_verdinwm8904_6 pass alsa_mixer-test_get_value_verdinwm8904_5 pass alsa_mixer-test_name_verdinwm8904_5 pass alsa_mixer-test_write_default_verdinwm8904_5 pass alsa_mixer-test_write_valid_verdinwm8904_5 pass alsa_mixer-test_write_invalid_verdinwm8904_5 pass alsa_mixer-test_event_missing_verdinwm8904_5 pass alsa_mixer-test_event_spurious_verdinwm8904_5 pass alsa_mixer-test_get_value_verdinwm8904_4 pass alsa_mixer-test_name_verdinwm8904_4 pass alsa_mixer-test_write_default_verdinwm8904_4 pass alsa_mixer-test_write_valid_verdinwm8904_4 pass alsa_mixer-test_write_invalid_verdinwm8904_4 pass alsa_mixer-test_event_missing_verdinwm8904_4 pass alsa_mixer-test_event_spurious_verdinwm8904_4 pass alsa_mixer-test_get_value_verdinwm8904_3 pass alsa_mixer-test_name_verdinwm8904_3 pass alsa_mixer-test_write_default_verdinwm8904_3 pass alsa_mixer-test_write_valid_verdinwm8904_3 pass alsa_mixer-test_write_invalid_verdinwm8904_3 pass alsa_mixer-test_event_missing_verdinwm8904_3 pass alsa_mixer-test_event_spurious_verdinwm8904_3 pass alsa_mixer-test_get_value_verdinwm8904_2 pass alsa_mixer-test_name_verdinwm8904_2 pass alsa_mixer-test_write_default_verdinwm8904_2 pass alsa_mixer-test_write_valid_verdinwm8904_2 pass alsa_mixer-test_write_invalid_verdinwm8904_2 pass alsa_mixer-test_event_missing_verdinwm8904_2 pass alsa_mixer-test_event_spurious_verdinwm8904_2 pass alsa_mixer-test_get_value_verdinwm8904_1 pass alsa_mixer-test_name_verdinwm8904_1 pass alsa_mixer-test_write_default_verdinwm8904_1 pass alsa_mixer-test_write_valid_verdinwm8904_1 pass alsa_mixer-test_write_invalid_verdinwm8904_1 pass alsa_mixer-test_event_missing_verdinwm8904_1 pass alsa_mixer-test_event_spurious_verdinwm8904_1 pass alsa_mixer-test_get_value_verdinwm8904_0 pass alsa_mixer-test_name_verdinwm8904_0 pass alsa_mixer-test_write_default_verdinwm8904_0 pass alsa_mixer-test_write_valid_verdinwm8904_0 pass alsa_mixer-test_write_invalid_verdinwm8904_0 pass alsa_mixer-test_event_missing_verdinwm8904_0 pass alsa_mixer-test_event_spurious_verdinwm8904_0 pass alsa_mixer-test pass alsa_pcm-test_default_time1_verdinwm8904_0_0_CAPTURE skip alsa_pcm-test_default_time2_verdinwm8904_0_0_CAPTURE pass alsa_pcm-test_default_time3_verdinwm8904_0_0_CAPTURE fail alsa_pcm-test_default_time4_verdinwm8904_0_0_CAPTURE pass alsa_pcm-test_default_time5_verdinwm8904_0_0_CAPTURE pass alsa_pcm-test_default_time6_verdinwm8904_0_0_CAPTURE pass alsa_pcm-test_default_time7_verdinwm8904_0_0_CAPTURE pass alsa_pcm-test_default_time1_verdinwm8904_0_0_PLAYBACK skip alsa_pcm-test_default_time2_verdinwm8904_0_0_PLAYBACK pass alsa_pcm-test_default_time3_verdinwm8904_0_0_PLAYBACK fail alsa_pcm-test_default_time4_verdinwm8904_0_0_PLAYBACK pass alsa_pcm-test_default_time5_verdinwm8904_0_0_PLAYBACK pass alsa_pcm-test_default_time6_verdinwm8904_0_0_PLAYBACK pass alsa_pcm-test_default_time7_verdinwm8904_0_0_PLAYBACK pass alsa_pcm-test pass alsa_test-pcmtest-driver_pcmtest_playback_Can_t_read_patterns_Probably_module_isn_t_loaded skip alsa_test-pcmtest-driver_pcmtest_capture_Can_t_read_patterns_Probably_module_isn_t_loaded skip alsa_test-pcmtest-driver_pcmtest_ni_capture_Can_t_read_patterns_Probably_module_isn_t_loaded skip alsa_test-pcmtest-driver_pcmtest_ni_playback_Can_t_read_patterns_Probably_module_isn_t_loaded skip alsa_test-pcmtest-driver_pcmtest_reset_ioctl_Can_t_read_patterns_Probably_module_isn_t_loaded skip alsa_test-pcmtest-driver pass + ../../utils/send-to-lava.sh ./output/result.txt + set +x / #