Boot log: meson-gxl-s905x-libretech-cc

    1 10:51:52.742656  lava-dispatcher, installed at version: 2024.01
    2 10:51:52.742926  start: 0 validate
    3 10:51:52.743068  Start time: 2024-09-06 10:51:52.743060+00:00 (UTC)
    4 10:51:52.743232  Validating that http://storage.kernelci.org/images/rootfs/debian/bookworm-kselftest/20240313.0/arm64/initrd.cpio.gz exists
    5 10:51:53.859924  Validating that http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/kernel/Image exists
    6 10:51:54.006023  Validating that http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/dtbs/amlogic/meson-gxl-s905x-libretech-cc.dtb exists
    7 10:51:54.151811  Validating that http://storage.kernelci.org/images/rootfs/debian/bookworm-kselftest/20240313.0/arm64/full.rootfs.tar.xz exists
    8 10:51:54.298158  Validating that http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/modules.tar.xz exists
    9 10:51:54.449363  validate duration: 1.71
   11 10:51:54.450050  start: 1 tftp-deploy (timeout 00:10:00) [common]
   12 10:51:54.450292  start: 1.1 download-retry (timeout 00:10:00) [common]
   13 10:51:54.450524  start: 1.1.1 http-download (timeout 00:10:00) [common]
   14 10:51:54.450914  Not decompressing ramdisk as can be used compressed.
   15 10:51:54.451189  downloading http://storage.kernelci.org/images/rootfs/debian/bookworm-kselftest/20240313.0/arm64/initrd.cpio.gz
   16 10:51:54.451354  saving as /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/ramdisk/initrd.cpio.gz
   17 10:51:54.451516  total size: 5628169 (5 MB)
   18 10:51:54.740848  progress   0 % (0 MB)
   19 10:51:55.170623  progress   5 % (0 MB)
   20 10:51:55.315315  progress  10 % (0 MB)
   21 10:51:55.322585  progress  15 % (0 MB)
   22 10:51:55.460145  progress  20 % (1 MB)
   23 10:51:55.465519  progress  25 % (1 MB)
   24 10:51:55.469956  progress  30 % (1 MB)
   25 10:51:55.603343  progress  35 % (1 MB)
   26 10:51:55.608093  progress  40 % (2 MB)
   27 10:51:55.613409  progress  45 % (2 MB)
   28 10:51:55.618281  progress  50 % (2 MB)
   29 10:51:55.623650  progress  55 % (2 MB)
   30 10:51:55.628342  progress  60 % (3 MB)
   31 10:51:55.755879  progress  65 % (3 MB)
   32 10:51:55.761301  progress  70 % (3 MB)
   33 10:51:55.766050  progress  75 % (4 MB)
   34 10:51:55.771414  progress  80 % (4 MB)
   35 10:51:55.776237  progress  85 % (4 MB)
   36 10:51:55.781658  progress  90 % (4 MB)
   37 10:51:55.786451  progress  95 % (5 MB)
   38 10:51:55.790211  progress 100 % (5 MB)
   39 10:51:55.790783  5 MB downloaded in 1.34 s (4.01 MB/s)
   40 10:51:55.791193  end: 1.1.1 http-download (duration 00:00:01) [common]
   42 10:51:55.791811  end: 1.1 download-retry (duration 00:00:01) [common]
   43 10:51:55.792028  start: 1.2 download-retry (timeout 00:09:59) [common]
   44 10:51:55.792238  start: 1.2.1 http-download (timeout 00:09:59) [common]
   45 10:51:55.792613  downloading http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/kernel/Image
   46 10:51:55.792788  saving as /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/kernel/Image
   47 10:51:55.792950  total size: 45742592 (43 MB)
   48 10:51:55.793108  No compression specified
   49 10:51:55.939239  progress   0 % (0 MB)
   50 10:51:55.969766  progress   5 % (2 MB)
   51 10:51:56.103393  progress  10 % (4 MB)
   52 10:51:56.238589  progress  15 % (6 MB)
   53 10:51:56.273345  progress  20 % (8 MB)
   54 10:51:56.559398  progress  25 % (10 MB)
   55 10:51:56.700211  progress  30 % (13 MB)
   56 10:51:56.834745  progress  35 % (15 MB)
   57 10:51:56.872509  progress  40 % (17 MB)
   58 10:51:57.007996  progress  45 % (19 MB)
   59 10:51:57.136712  progress  50 % (21 MB)
   60 10:51:57.172235  progress  55 % (24 MB)
   61 10:51:57.305906  progress  60 % (26 MB)
   62 10:51:57.441207  progress  65 % (28 MB)
   63 10:51:57.579979  progress  70 % (30 MB)
   64 10:51:57.625645  progress  75 % (32 MB)
   65 10:51:57.759197  progress  80 % (34 MB)
   66 10:51:57.896034  progress  85 % (37 MB)
   67 10:51:58.007134  progress  90 % (39 MB)
   68 10:51:58.060140  progress  95 % (41 MB)
   69 10:51:58.282224  progress 100 % (43 MB)
   70 10:51:58.282916  43 MB downloaded in 2.49 s (17.52 MB/s)
   71 10:51:58.283298  end: 1.2.1 http-download (duration 00:00:02) [common]
   73 10:51:58.283875  end: 1.2 download-retry (duration 00:00:02) [common]
   74 10:51:58.284087  start: 1.3 download-retry (timeout 00:09:56) [common]
   75 10:51:58.284283  start: 1.3.1 http-download (timeout 00:09:56) [common]
   76 10:51:58.284631  downloading http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/dtbs/amlogic/meson-gxl-s905x-libretech-cc.dtb
   77 10:51:58.284798  saving as /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb
   78 10:51:58.284944  total size: 29393 (0 MB)
   79 10:51:58.285090  No compression specified
   80 10:51:58.430242  progress 100 % (0 MB)
   81 10:51:58.430914  0 MB downloaded in 0.15 s (0.19 MB/s)
   82 10:51:58.431284  end: 1.3.1 http-download (duration 00:00:00) [common]
   84 10:51:58.431868  end: 1.3 download-retry (duration 00:00:00) [common]
   85 10:51:58.432070  start: 1.4 download-retry (timeout 00:09:56) [common]
   86 10:51:58.432268  start: 1.4.1 http-download (timeout 00:09:56) [common]
   87 10:51:58.432615  downloading http://storage.kernelci.org/images/rootfs/debian/bookworm-kselftest/20240313.0/arm64/full.rootfs.tar.xz
   88 10:51:58.432785  saving as /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/nfsrootfs/full.rootfs.tar
   89 10:51:58.432933  total size: 120894716 (115 MB)
   90 10:51:58.433086  Using unxz to decompress xz
   91 10:51:58.583180  progress   0 % (0 MB)
   92 10:51:58.954955  progress   5 % (5 MB)
   93 10:51:59.353157  progress  10 % (11 MB)
   94 10:51:59.731077  progress  15 % (17 MB)
   95 10:52:00.100446  progress  20 % (23 MB)
   96 10:52:00.469003  progress  25 % (28 MB)
   97 10:52:00.848543  progress  30 % (34 MB)
   98 10:52:01.202949  progress  35 % (40 MB)
   99 10:52:01.489402  progress  40 % (46 MB)
  100 10:52:01.792254  progress  45 % (51 MB)
  101 10:52:02.135989  progress  50 % (57 MB)
  102 10:52:02.533650  progress  55 % (63 MB)
  103 10:52:02.907529  progress  60 % (69 MB)
  104 10:52:03.281692  progress  65 % (74 MB)
  105 10:52:03.655483  progress  70 % (80 MB)
  106 10:52:04.041300  progress  75 % (86 MB)
  107 10:52:04.407680  progress  80 % (92 MB)
  108 10:52:04.779574  progress  85 % (98 MB)
  109 10:52:05.153837  progress  90 % (103 MB)
  110 10:52:05.504441  progress  95 % (109 MB)
  111 10:52:05.878088  progress 100 % (115 MB)
  112 10:52:05.884123  115 MB downloaded in 7.45 s (15.47 MB/s)
  113 10:52:05.884629  end: 1.4.1 http-download (duration 00:00:07) [common]
  115 10:52:05.885128  end: 1.4 download-retry (duration 00:00:07) [common]
  116 10:52:05.885292  start: 1.5 download-retry (timeout 00:09:49) [common]
  117 10:52:05.885454  start: 1.5.1 http-download (timeout 00:09:49) [common]
  118 10:52:05.885747  downloading http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/modules.tar.xz
  119 10:52:05.885930  saving as /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/modules/modules.tar
  120 10:52:05.886101  total size: 11545064 (11 MB)
  121 10:52:05.886269  Using unxz to decompress xz
  122 10:52:06.030850  progress   0 % (0 MB)
  123 10:52:06.063908  progress   5 % (0 MB)
  124 10:52:06.100027  progress  10 % (1 MB)
  125 10:52:06.138126  progress  15 % (1 MB)
  126 10:52:06.175267  progress  20 % (2 MB)
  127 10:52:06.212383  progress  25 % (2 MB)
  128 10:52:06.334732  progress  30 % (3 MB)
  129 10:52:06.371786  progress  35 % (3 MB)
  130 10:52:06.406716  progress  40 % (4 MB)
  131 10:52:06.442474  progress  45 % (4 MB)
  132 10:52:06.478626  progress  50 % (5 MB)
  133 10:52:06.512654  progress  55 % (6 MB)
  134 10:52:06.551226  progress  60 % (6 MB)
  135 10:52:06.589903  progress  65 % (7 MB)
  136 10:52:06.625176  progress  70 % (7 MB)
  137 10:52:06.667904  progress  75 % (8 MB)
  138 10:52:06.706506  progress  80 % (8 MB)
  139 10:52:06.744269  progress  85 % (9 MB)
  140 10:52:06.779543  progress  90 % (9 MB)
  141 10:52:06.813310  progress  95 % (10 MB)
  142 10:52:06.849121  progress 100 % (11 MB)
  143 10:52:06.854020  11 MB downloaded in 0.97 s (11.38 MB/s)
  144 10:52:06.854446  end: 1.5.1 http-download (duration 00:00:01) [common]
  146 10:52:06.854915  end: 1.5 download-retry (duration 00:00:01) [common]
  147 10:52:06.855082  start: 1.6 prepare-tftp-overlay (timeout 00:09:48) [common]
  148 10:52:06.855239  start: 1.6.1 extract-nfsrootfs (timeout 00:09:48) [common]
  149 10:52:14.753178  Extracted nfsroot to /var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh
  150 10:52:14.753533  end: 1.6.1 extract-nfsrootfs (duration 00:00:08) [common]
  151 10:52:14.753641  start: 1.6.2 lava-overlay (timeout 00:09:40) [common]
  152 10:52:14.753930  [common] Preparing overlay tarball in /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo
  153 10:52:14.754063  makedir: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin
  154 10:52:14.754169  makedir: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/tests
  155 10:52:14.754261  makedir: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/results
  156 10:52:14.754374  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-add-keys
  157 10:52:14.754548  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-add-sources
  158 10:52:14.754688  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-background-process-start
  159 10:52:14.754826  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-background-process-stop
  160 10:52:14.754965  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-common-functions
  161 10:52:14.755098  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-echo-ipv4
  162 10:52:14.755232  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-install-packages
  163 10:52:14.755372  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-installed-packages
  164 10:52:14.755504  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-os-build
  165 10:52:14.755641  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-probe-channel
  166 10:52:14.755777  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-probe-ip
  167 10:52:14.755918  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-target-ip
  168 10:52:14.756059  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-target-mac
  169 10:52:14.756194  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-target-storage
  170 10:52:14.756329  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-case
  171 10:52:14.756462  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-event
  172 10:52:14.756593  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-feedback
  173 10:52:14.756731  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-raise
  174 10:52:14.756861  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-reference
  175 10:52:14.757000  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-runner
  176 10:52:14.757147  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-set
  177 10:52:14.757286  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-test-shell
  178 10:52:14.757429  Updating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-add-keys (debian)
  179 10:52:14.865629  Updating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-add-sources (debian)
  180 10:52:14.866279  Updating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-install-packages (debian)
  181 10:52:14.866603  Updating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-installed-packages (debian)
  182 10:52:14.866773  Updating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/bin/lava-os-build (debian)
  183 10:52:14.866922  Creating /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/environment
  184 10:52:14.867051  LAVA metadata
  185 10:52:14.867136  - LAVA_JOB_ID=715541
  186 10:52:14.867210  - LAVA_DISPATCHER_IP=192.168.56.76
  187 10:52:14.867375  start: 1.6.2.1 ssh-authorize (timeout 00:09:40) [common]
  188 10:52:14.867682  end: 1.6.2.1 ssh-authorize (duration 00:00:00) [common]
  189 10:52:14.867787  start: 1.6.2.2 lava-vland-overlay (timeout 00:09:40) [common]
  190 10:52:14.867862  skipped lava-vland-overlay
  191 10:52:14.867957  end: 1.6.2.2 lava-vland-overlay (duration 00:00:00) [common]
  192 10:52:14.868048  start: 1.6.2.3 lava-multinode-overlay (timeout 00:09:40) [common]
  193 10:52:14.868113  skipped lava-multinode-overlay
  194 10:52:14.868207  end: 1.6.2.3 lava-multinode-overlay (duration 00:00:00) [common]
  195 10:52:14.868297  start: 1.6.2.4 test-definition (timeout 00:09:40) [common]
  196 10:52:14.868381  Loading test definitions
  197 10:52:14.868482  start: 1.6.2.4.1 inline-repo-action (timeout 00:09:40) [common]
  198 10:52:14.868553  Using /lava-715541 at stage 0
  199 10:52:14.868923  uuid=715541_1.6.2.4.1 testdef=None
  200 10:52:14.869024  end: 1.6.2.4.1 inline-repo-action (duration 00:00:00) [common]
  201 10:52:14.869118  start: 1.6.2.4.2 test-overlay (timeout 00:09:40) [common]
  202 10:52:14.869607  end: 1.6.2.4.2 test-overlay (duration 00:00:00) [common]
  204 10:52:14.869872  start: 1.6.2.4.3 test-install-overlay (timeout 00:09:40) [common]
  205 10:52:14.870523  end: 1.6.2.4.3 test-install-overlay (duration 00:00:00) [common]
  207 10:52:14.870807  start: 1.6.2.4.4 test-runscript-overlay (timeout 00:09:40) [common]
  208 10:52:15.379630  runner path: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/0/tests/0_timesync-off test_uuid 715541_1.6.2.4.1
  209 10:52:15.379971  end: 1.6.2.4.4 test-runscript-overlay (duration 00:00:01) [common]
  211 10:52:15.380225  start: 1.6.2.4.5 git-repo-action (timeout 00:09:39) [common]
  212 10:52:15.380296  Using /lava-715541 at stage 0
  213 10:52:15.380413  Fetching tests from https://github.com/kernelci/test-definitions.git
  214 10:52:15.380523  Running '/usr/bin/git clone https://github.com/kernelci/test-definitions.git /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/0/tests/1_kselftest-arm64'
  215 10:52:27.907113  Running '/usr/bin/git checkout kernelci.org
  216 10:52:32.243299  Tests stored (tmp) in /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/0/tests/1_kselftest-arm64/automated/linux/kselftest/kselftest.yaml
  217 10:52:32.244136  uuid=715541_1.6.2.4.5 testdef=None
  218 10:52:32.244359  end: 1.6.2.4.5 git-repo-action (duration 00:00:17) [common]
  220 10:52:32.244782  start: 1.6.2.4.6 test-overlay (timeout 00:09:22) [common]
  221 10:52:32.246760  end: 1.6.2.4.6 test-overlay (duration 00:00:00) [common]
  223 10:52:32.247429  start: 1.6.2.4.7 test-install-overlay (timeout 00:09:22) [common]
  224 10:52:32.250404  end: 1.6.2.4.7 test-install-overlay (duration 00:00:00) [common]
  226 10:52:32.251104  start: 1.6.2.4.8 test-runscript-overlay (timeout 00:09:22) [common]
  227 10:52:32.361281  runner path: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/0/tests/1_kselftest-arm64 test_uuid 715541_1.6.2.4.5
  228 10:52:32.361630  BOARD='meson-gxl-s905x-libretech-cc'
  229 10:52:32.361858  BRANCH='next'
  230 10:52:32.362037  SKIPFILE='/dev/null'
  231 10:52:32.362197  SKIP_INSTALL='True'
  232 10:52:32.362353  TESTPROG_URL='http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/kselftest.tar.xz'
  233 10:52:32.362515  TST_CASENAME=''
  234 10:52:32.362672  TST_CMDFILES='arm64'
  235 10:52:32.363187  end: 1.6.2.4.8 test-runscript-overlay (duration 00:00:00) [common]
  237 10:52:32.363803  Creating lava-test-runner.conf files
  238 10:52:32.363970  Using lava-test-runner path: /var/lib/lava/dispatcher/tmp/715541/lava-overlay-iwo2_0mo/lava-715541/0 for stage 0
  239 10:52:32.364262  - 0_timesync-off
  240 10:52:32.364451  - 1_kselftest-arm64
  241 10:52:32.364735  end: 1.6.2.4 test-definition (duration 00:00:17) [common]
  242 10:52:32.364953  start: 1.6.2.5 compress-overlay (timeout 00:09:22) [common]
  243 10:52:40.488849  end: 1.6.2.5 compress-overlay (duration 00:00:08) [common]
  244 10:52:40.489019  start: 1.6.2.6 persistent-nfs-overlay (timeout 00:09:14) [common]
  245 10:52:40.489103  end: 1.6.2.6 persistent-nfs-overlay (duration 00:00:00) [common]
  246 10:52:40.489188  end: 1.6.2 lava-overlay (duration 00:00:26) [common]
  247 10:52:40.489267  start: 1.6.3 extract-overlay-ramdisk (timeout 00:09:14) [common]
  248 10:52:40.651089  end: 1.6.3 extract-overlay-ramdisk (duration 00:00:00) [common]
  249 10:52:40.651380  start: 1.6.4 extract-modules (timeout 00:09:14) [common]
  250 10:52:40.651548  extracting modules file /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/modules/modules.tar to /var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh
  251 10:52:41.003537  extracting modules file /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/modules/modules.tar to /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk
  252 10:52:41.365104  end: 1.6.4 extract-modules (duration 00:00:01) [common]
  253 10:52:41.365299  start: 1.6.5 apply-overlay-tftp (timeout 00:09:13) [common]
  254 10:52:41.365388  [common] Applying overlay to NFS
  255 10:52:41.365448  [common] Applying overlay /var/lib/lava/dispatcher/tmp/715541/compress-overlay-iea_20au/overlay-1.6.2.5.tar.gz to directory /var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh
  256 10:52:42.344044  end: 1.6.5 apply-overlay-tftp (duration 00:00:01) [common]
  257 10:52:42.344239  start: 1.6.6 prepare-kernel (timeout 00:09:12) [common]
  258 10:52:42.344324  start: 1.6.6.1 uboot-prepare-kernel (timeout 00:09:12) [common]
  259 10:52:42.344392  Converting downloaded kernel to a uImage
  260 10:52:42.344498  mkimage -A arm64 -O linux -T kernel -C none -a 0x13000000 -e 0x13000000 -d /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/kernel/Image /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/kernel/uImage
  261 10:52:53.995459  output: Image Name:   
  262 10:52:53.995707  output: Created:      Fri Sep  6 10:52:42 2024
  263 10:52:53.995838  output: Image Type:   AArch64 Linux Kernel Image (uncompressed)
  264 10:52:53.995953  output: Data Size:    45742592 Bytes = 44670.50 KiB = 43.62 MiB
  265 10:52:53.996065  output: Load Address: 13000000
  266 10:52:53.996173  output: Entry Point:  13000000
  267 10:52:53.996281  output: 
  268 10:52:53.996472  end: 1.6.6.1 uboot-prepare-kernel (duration 00:00:12) [common]
  269 10:52:53.996637  end: 1.6.6 prepare-kernel (duration 00:00:12) [common]
  270 10:52:53.996823  start: 1.6.7 configure-preseed-file (timeout 00:09:00) [common]
  271 10:52:53.996971  end: 1.6.7 configure-preseed-file (duration 00:00:00) [common]
  272 10:52:53.997048  start: 1.6.8 compress-ramdisk (timeout 00:09:00) [common]
  273 10:52:53.997130  Building ramdisk /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk.cpio containing /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk
  274 10:52:56.941629  >> 165950 blocks

  275 10:52:59.745384  Adding RAMdisk u-boot header.
  276 10:52:59.745679  mkimage -A arm64 -T ramdisk -C none -d /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk.cpio.gz /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk.cpio.gz.uboot
  277 10:53:00.329719  output: Image Name:   
  278 10:53:00.329997  output: Created:      Fri Sep  6 10:52:59 2024
  279 10:53:00.330130  output: Image Type:   AArch64 Linux RAMDisk Image (uncompressed)
  280 10:53:00.330247  output: Data Size:    23312571 Bytes = 22766.18 KiB = 22.23 MiB
  281 10:53:00.330362  output: Load Address: 00000000
  282 10:53:00.330473  output: Entry Point:  00000000
  283 10:53:00.330581  output: 
  284 10:53:00.330794  rename /var/lib/lava/dispatcher/tmp/715541/extract-overlay-ramdisk-jodu22do/ramdisk.cpio.gz.uboot to /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  285 10:53:00.331010  end: 1.6.8 compress-ramdisk (duration 00:00:06) [common]
  286 10:53:00.331183  end: 1.6 prepare-tftp-overlay (duration 00:00:53) [common]
  287 10:53:00.331340  start: 1.7 lxc-create-udev-rule-action (timeout 00:08:54) [common]
  288 10:53:00.331479  No LXC device requested
  289 10:53:00.331630  end: 1.7 lxc-create-udev-rule-action (duration 00:00:00) [common]
  290 10:53:00.331784  start: 1.8 deploy-device-env (timeout 00:08:54) [common]
  291 10:53:00.331933  end: 1.8 deploy-device-env (duration 00:00:00) [common]
  292 10:53:00.332076  Checking files for TFTP limit of 4294967296 bytes.
  293 10:53:00.333082  end: 1 tftp-deploy (duration 00:01:06) [common]
  294 10:53:00.333313  start: 2 uboot-action (timeout 00:05:00) [common]
  295 10:53:00.333521  start: 2.1 uboot-from-media (timeout 00:05:00) [common]
  296 10:53:00.333714  end: 2.1 uboot-from-media (duration 00:00:00) [common]
  297 10:53:00.333933  start: 2.2 bootloader-overlay (timeout 00:05:00) [common]
  298 10:53:00.334130  Using kernel file from prepare-kernel: 715541/tftp-deploy-3ocarnyo/kernel/uImage
  299 10:53:00.334387  substitutions:
  300 10:53:00.334545  - {BOOTX}: bootm 0x13000000 0x6000000 0x9000000
  301 10:53:00.334697  - {DTB_ADDR}: 0x9000000
  302 10:53:00.334847  - {DTB}: 715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb
  303 10:53:00.334989  - {INITRD}: 715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  304 10:53:00.335137  - {KERNEL_ADDR}: 0x13000000
  305 10:53:00.335276  - {KERNEL}: 715541/tftp-deploy-3ocarnyo/kernel/uImage
  306 10:53:00.335415  - {LAVA_MAC}: None
  307 10:53:00.335575  - {NFSROOTFS}: /var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh
  308 10:53:00.335719  - {NFS_SERVER_IP}: 192.168.56.76
  309 10:53:00.335857  - {PRESEED_CONFIG}: None
  310 10:53:00.336000  - {PRESEED_LOCAL}: None
  311 10:53:00.336142  - {RAMDISK_ADDR}: 0x6000000
  312 10:53:00.336281  - {RAMDISK}: 715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  313 10:53:00.336420  - {ROOT_PART}: None
  314 10:53:00.336558  - {ROOT}: None
  315 10:53:00.336696  - {SERVER_IP}: 192.168.56.76
  316 10:53:00.336835  - {TEE_ADDR}: 0x83000000
  317 10:53:00.336973  - {TEE}: None
  318 10:53:00.337111  Parsed boot commands:
  319 10:53:00.337245  - setenv autoload no
  320 10:53:00.337383  - setenv initrd_high 0xffffffff
  321 10:53:00.337520  - setenv fdt_high 0xffffffff
  322 10:53:00.337656  - dhcp
  323 10:53:00.337814  - setenv serverip 192.168.56.76
  324 10:53:00.337964  - tftp 0x13000000 715541/tftp-deploy-3ocarnyo/kernel/uImage
  325 10:53:00.338107  - tftp 0x6000000 715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  326 10:53:00.338248  - setenv initrd_size ${filesize}
  327 10:53:00.338385  - tftp 0x9000000 715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb
  328 10:53:00.338523  - setenv bootargs 'console=ttyAML0,115200n8 root=/dev/nfs rw nfsroot=192.168.56.76:/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh,tcp,hard console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp'
  329 10:53:00.338668  - bootm 0x13000000 0x6000000 0x9000000
  330 10:53:00.338858  end: 2.2 bootloader-overlay (duration 00:00:00) [common]
  332 10:53:00.339380  start: 2.3 connect-device (timeout 00:05:00) [common]
  333 10:53:00.339540  [common] connect-device Connecting to device using 'telnet conserv2 3005'
  334 10:53:00.345627  Setting prompt string to ['lava-test: # ']
  335 10:53:00.346246  end: 2.3 connect-device (duration 00:00:00) [common]
  336 10:53:00.346479  start: 2.4 uboot-commands (timeout 00:05:00) [common]
  337 10:53:00.346677  start: 2.4.1 reset-device (timeout 00:05:00) [common]
  338 10:53:00.346873  start: 2.4.1.1 pdu-reboot (timeout 00:05:00) [common]
  339 10:53:00.347265  Calling: 'curl' 'http://conserv2.mayfield.sirena.org.uk:16421/power/control/reboot?hostname=cambrionix&port=potato-03'
  340 10:53:00.361945  >> OK - accepted request

  341 10:53:00.362750  Returned 0 in 0 seconds
  342 10:53:00.463269  end: 2.4.1.1 pdu-reboot (duration 00:00:00) [common]
  344 10:53:00.463952  end: 2.4.1 reset-device (duration 00:00:00) [common]
  345 10:53:00.464138  start: 2.4.2 bootloader-interrupt (timeout 00:05:00) [common]
  346 10:53:00.464321  Setting prompt string to ['stop autoboot']
  347 10:53:00.464513  bootloader-interrupt: Wait for prompt ['stop autoboot'] (timeout 00:05:00)
  348 10:53:00.465234  Trying 192.168.56.183...
  349 10:53:00.465418  Connected to conserv2.
  350 10:53:00.465571  Escape character is '^]'.
  351 10:53:00.465717  
  352 10:53:00.465889  ser2net port telnet,3005 device serialdev, /dev/serial/by-path/platform-fd500000.pcie-pci-0000:01:00.0-usb-0:1.1.3.3:1.0-port0, 115200n81, [] (Debian GNU/Linux)
  353 10:53:00.466042  
  354 10:53:14.092152  GXL:BL1:9ac50e:bb16dc;FEAT:ADFC318C:0;POC:0;RCY:0;USB:0;SPI:0;CHK:A7;EMMC:400;NAND:81;SD:0;READ:0;0.0;CHK:0;
  355 10:53:14.092513  no sdio debug board detected 
  356 10:53:14.092683  TE: 1799679
  357 10:53:14.097820  
  358 10:53:14.103458  BL2 Built : 16:20:27, Apr 19 2018. gxl g9478cf1 - jenkins@walle02-sh
  359 10:53:14.103773  
  360 10:53:14.103941  set vcck to 1120 mv
  361 10:53:14.104087  set vddee to 1000 mv
  362 10:53:14.106750  Board ID = 3
  363 10:53:14.107063  CPU clk: 1200MHz
  364 10:53:14.112192  DQS-corr enabled
  365 10:53:14.112506  DDR scramble enabled
  366 10:53:14.112674  DDR3 chl: Rank0+1 @ 912MHz
  367 10:53:14.301395  bist_test rank: 0 1b 03 33 2a 13 41 17 00 2f 30 18 49 19 01 32 2a 12 42 19 01 31 2c 13 45 693  rank: 1 19 04 2f 29 13 40 16 00 2c 30 17 49 15 02 29 2a 12 42 19 04 2f 2d 13 47 693   - PASS
  368 10:53:14.301830  
  369 10:53:14.301997  Rank0: 1024MB(auto)-2T-13
  370 10:53:14.302152  
  371 10:53:14.307022  Rank1: 1024MB(auto)-2T-13
  372 10:53:14.307408  AddrBus test pass!
  373 10:53:14.314127  Load fip header from SD, src: 0x0000c200, des: 0x01400000, size: 0x00004000
  374 10:53:14.421597  New fip structure!
  375 10:53:14.427043  Load bl30 from SD, src: 0x00010200, des: 0x013c0000, size: 0x0000d600
  376 10:53:14.532075  Load bl31 from SD, src: 0x00020200, des: 0x05100000, size: 0x00007400
  377 10:53:14.636937  Load bl33 from SD, src: 0x00028200, des: 0x01000000, size: 0x000aac00
  378 10:53:18.292566  GXL:BL1:9ac50e:bb16dc;FEAT:ADFC318C:0;POC:0;RCY:0;USB:0;SPI:0;CHK:A7;EMMC:400;NAND:81;SD:0;READ:0;0.0;CHK:0;
  379 10:53:18.292963  no sdio debug board detected 
  380 10:53:18.293128  TE: 1799676
  381 10:53:18.298146  
  382 10:53:18.303840  BL2 Built : 16:20:27, Apr 19 2018. gxl g9478cf1 - jenkins@walle02-sh
  383 10:53:18.304236  
  384 10:53:18.304375  set vcck to 1120 mv
  385 10:53:18.304491  set vddee to 1000 mv
  386 10:53:18.306466  Board ID = 3
  387 10:53:18.306771  CPU clk: 1200MHz
  388 10:53:18.312335  DQS-corr enabled
  389 10:53:18.312672  DDR scramble enabled
  390 10:53:18.312832  DDR3 chl: Rank0+1 @ 912MHz
  391 10:53:18.492762  bist_test rank: 0 1a 03 32 29 12 41 17 00 2f 30 17 49 19 00 32 2a 12 42 18 00 30 2c 13 45 693  rank: 1 19 03 2f 29 12 40 16 00 2d 2f 16 49 14 00 29 2a 12 42 19 03 2f 2d 14 47 693   - PASS
  392 10:53:18.493151  
  393 10:53:18.493313  Rank0: 1024MB(auto)-2T-13
  394 10:53:18.493460  
  395 10:53:18.498383  Rank1: 1024MB(auto)-2T-13
  396 10:53:18.498774  AddrBus test pass!
  397 10:53:18.505625  Load fip header from SD, src: 0x0000c200, des: 0x01400000, size: 0x00004000
  398 10:53:18.612773  New fip structure!
  399 10:53:18.618284  Load bl30 from SD, src: 0x00010200, des: 0x013c0000, size: 0x0000d600
  400 10:53:18.723184  Load bl31 from SD, src: 0x00020200, des: 0x05100000, size: 0x00007400
  401 10:53:18.828537  Load bl33 from SD, src: 0x00028200, des: 0x01000000, size: 0x000aac00
  402 10:53:19.986264  NOTICE:  BL31: v2.6(release):v2.6-457-g510155aa7-dirty
  403 10:53:19.991240  NOTICE:  BL31: Built : 18:23:41, Apr 14 2022
  404 10:53:19.991534  mpu_config_enable:ok
  405 10:53:20.002488  [Image: gxl_v1.1.3308-45470c4 2018-04-12 16:22:58 jenkins@walle02-sh]
  406 10:53:20.002876  OPS=0x84
  407 10:53:20.008215  21 0d 84 00 a9 ce 07 65 e3 c0 80 6a 0c 7b 9f 9c 
  408 10:53:20.008604  [3.514551 Inits done]
  409 10:53:20.008763  secure task start!
  410 10:53:20.014325  high task start!
  411 10:53:20.014697  low task start!
  412 10:53:20.014856  
  413 10:53:20.014994  <debug_uart>
  414 10:53:20.177914  
  415 10:53:20.178301  
  416 10:53:20.186424  U-Boot 2022.04-00708-g42a2d90cf5-dirty (Apr 17 2022 - 21:08:42 +0100) libretech-cc
  417 10:53:20.186812  
  418 10:53:20.186976  Model: Libre Computer AML-S905X-CC
  419 10:53:20.235969  SoC:   Amlogic Meson GXL (S905X) Revision 21:d (84:2)
  420 10:53:20.247681  DRAM:  2 GiB
  421 10:53:20.295749  Core:  160 devices, 24 uclasses, devicetree: separate
  422 10:53:20.317884  MMC:   mmc@72000: 0, mmc@74000: 1
  423 10:53:20.321326  Loading Environment from nowhere... OK
  424 10:53:23.542545  GXL:BL1:9ac50e:bb16dc;FEAT:ADFC318C:0;POC:0;RCY:0;USB:0;SPI:0;CHK:A7;EMMC:400;NAND:81;SD:0;READ:0;0.0;CHK:0;
  425 10:53:23.542938  no sdio debug board detected 
  426 10:53:23.543103  TE: 1799688
  427 10:53:23.548162  
  428 10:53:23.553942  BL2 Built : 16:20:27, Apr 19 2018. gxl g9478cf1 - jenkins@walle02-sh
  429 10:53:23.554337  
  430 10:53:23.554499  set vcck to 1120 mv
  431 10:53:23.554642  set vddee to 1000 mv
  432 10:53:23.556883  Board ID = 3
  433 10:53:23.557188  CPU clk: 1200MHz
  434 10:53:23.562400  DQS-corr enabled
  435 10:53:23.562785  DDR scramble enabled
  436 10:53:23.562944  DDR3 chl: Rank0+1 @ 912MHz
  437 10:53:23.750413  bist_test rank: 0 1b 04 32 2a 13 41 17 00 2f 30 18 48 19 01 31 2a 12 42 18 00 30 2d 13 47 693  rank: 1 19 04 2f 29 13 3f 16 00 2c 30 18 49 15 01 29 2a 12 42 19 03 2f 2e 14 49 693   - PASS
  438 10:53:23.750804  
  439 10:53:23.750967  Rank0: 1024MB(auto)-2T-13
  440 10:53:23.751108  
  441 10:53:23.756031  Rank1: 1024MB(auto)-2T-13
  442 10:53:23.756430  AddrBus test pass!
  443 10:53:23.763368  Load fip header from SD, src: 0x0000c200, des: 0x01400000, size: 0x00004000
  444 10:53:23.872435  New fip structure!
  445 10:53:23.877481  Load bl30 from SD, src: 0x00010200, des: 0x013c0000, size: 0x0000d600
  446 10:53:23.982019  Load bl31 from SD, src: 0x00020200, des: 0x05100000, size: 0x00007400
  447 10:53:24.087724  Load bl33 from SD, src: 0x00028200, des: 0x01000000, size: 0x000aac00
  448 10:53:25.245041  NOTICE:  BL31: v2.6(release):v2.6-457-g510155aa7-dirty
  449 10:53:25.250134  NOTICE:  BL31: Built : 18:23:41, Apr 14 2022
  450 10:53:25.250482  mpu_config_enable:ok
  451 10:53:25.261715  [Image: gxl_v1.1.3308-45470c4 2018-04-12 16:22:58 jenkins@walle02-sh]
  452 10:53:25.262144  OPS=0x84
  453 10:53:25.267243  21 0d 84 00 a9 ce 07 65 e3 c0 80 6a 0c 7b 9f 9c 
  454 10:53:25.267633  [3.523450 Inits done]
  455 10:53:25.267792  secure task start!
  456 10:53:25.272241  high task start!
  457 10:53:25.272542  low task start!
  458 10:53:25.272700  
  459 10:53:25.272845  <debug_uart>
  460 10:53:25.436801  
  461 10:53:25.437189  
  462 10:53:25.444267  U-Boot 2022.04-00708-g42a2d90cf5-dirty (Apr 17 2022 - 21:08:42 +0100) libretech-cc
  463 10:53:25.444653  
  464 10:53:25.444817  Model: Libre Computer AML-S905X-CC
  465 10:53:25.494548  SoC:   Amlogic Meson GXL (S905X) Revision 21:d (84:2)
  466 10:53:25.505871  DRAM:  2 GiB
  467 10:53:25.554053  Core:  160 devices, 24 uclasses, devicetree: separate
  468 10:53:25.576747  MMC:   mmc@72000: 0, mmc@74000: 1
  469 10:53:25.579244  Loading Environment from nowhere... OK
  470 10:53:29.244164  GXL:BL1:9ac50e:bb16dc;FEAT:ADFC318C:0;POC:0;RCY:0;USB:0;SPI:0;CHK:A7;EMMC:400;NAND:81;SD:0;READ:0;0.0;CHK:0;
  471 10:53:29.244557  no sdio debug board detected 
  472 10:53:29.244721  TE: 1799723
  473 10:53:29.249698  
  474 10:53:29.255351  BL2 Built : 16:20:27, Apr 19 2018. gxl g9478cf1 - jenkins@walle02-sh
  475 10:53:29.255744  
  476 10:53:29.255906  set vcck to 1120 mv
  477 10:53:29.256053  set vddee to 1000 mv
  478 10:53:29.258343  Board ID = 3
  479 10:53:29.258648  CPU clk: 1200MHz
  480 10:53:29.263953  DQS-corr enabled
  481 10:53:29.264342  DDR scramble enabled
  482 10:53:29.264501  DDR3 chl: Rank0+1 @ 912MHz
  483 10:53:29.449505  bist_test rank: 0 1b 03 33 29 12 41 17 00 2f 2f 17 48 19 01 32 2a 12 42 18 00 30 2c 13 46 693  rank: 1 19 04 2f 29 13 40 16 00 2c 2f 16 49 14 01 28 2a 12 42 19 03 2f 2d 14 47 693   - PASS
  484 10:53:29.449932  
  485 10:53:29.450096  Rank0: 1024MB(auto)-2T-13
  486 10:53:29.450245  
  487 10:53:29.455094  Rank1: 1024MB(auto)-2T-13
  488 10:53:29.455481  AddrBus test pass!
  489 10:53:29.462413  Load fip header from SD, src: 0x0000c200, des: 0x01400000, size: 0x00004000
  490 10:53:29.569535  New fip structure!
  491 10:53:29.574327  Load bl30 from SD, src: 0x00010200, des: 0x013c0000, size: 0x0000d600
  492 10:53:29.679680  Load bl31 from SD, src: 0x00020200, des: 0x05100000, size: 0x00007400
  493 10:53:29.785018  Load bl33 from SD, src: 0x00028200, des: 0x01000000, size: 0x000aac00
  494 10:53:30.942725  NOTICE:  BL31: v2.6(release):v2.6-457-g510155aa7-dirty
  495 10:53:30.948125  NOTICE:  BL31: Built : 18:23:41, Apr 14 2022
  496 10:53:30.948516  mpu_config_enable:ok
  497 10:53:30.959178  [Image: gxl_v1.1.3308-45470c4 2018-04-12 16:22:58 jenkins@walle02-sh]
  498 10:53:30.959566  OPS=0x84
  499 10:53:30.965017  21 0d 84 00 a9 ce 07 65 e3 c0 80 6a 0c 7b 9f 9c 
  500 10:53:30.965423  [3.519565 Inits done]
  501 10:53:30.965585  secure task start!
  502 10:53:30.970423  high task start!
  503 10:53:30.970808  low task start!
  504 10:53:30.970969  
  505 10:53:30.971111  <debug_uart>
  506 10:53:31.134436  
  507 10:53:31.134829  
  508 10:53:31.142561  U-Boot 2022.04-00708-g42a2d90cf5-dirty (Apr 17 2022 - 21:08:42 +0100) libretech-cc
  509 10:53:31.142876  
  510 10:53:31.143045  Model: Libre Computer AML-S905X-CC
  511 10:53:31.191994  SoC:   Amlogic Meson GXL (S905X) Revision 21:d (84:2)
  512 10:53:31.203639  DRAM:  2 GiB
  513 10:53:31.252173  Core:  160 devices, 24 uclasses, devicetree: separate
  514 10:53:31.274429  MMC:   mmc@72000: 0, mmc@74000: 1
  515 10:53:31.277518  Loading Environment from nowhere... OK
  516 10:53:34.643978  GXL:BL1:9ac50e:bb16dc;FEAT:ADFC318C:0;POC:0;RCY:0;USB:0;SPI:0;CHK:A7;EMMC:400;NAND:81;SD:0;READ:0;0.0;CHK:0;
  517 10:53:34.644382  no sdio debug board detected 
  518 10:53:34.644548  TE: 1799701
  519 10:53:34.649480  
  520 10:53:34.655117  BL2 Built : 16:20:27, Apr 19 2018. gxl g9478cf1 - jenkins@walle02-sh
  521 10:53:34.655510  
  522 10:53:34.655675  set vcck to 1120 mv
  523 10:53:34.655821  set vddee to 1000 mv
  524 10:53:34.660621  Board ID = 3
  525 10:53:34.660990  CPU clk: 1200MHz
  526 10:53:34.661152  DQS-corr enabled
  527 10:53:34.661302  DDR scramble enabled
  528 10:53:34.666561  DDR3 chl: Rank0+1 @ 912MHz
  529 10:53:34.848795  bist_test rank: 0 1b 03 33 29 12 41 17 00 2e 30 17 49 19 01 31 2a 12 42 18 00 30 2d 14 46 693  rank: 1 19 04 2f 29 12 40 16 00 2c 2f 16 49 14 00 29 2a 12 42 19 03 2f 2d 14 47 693   - PASS
  530 10:53:34.849192  
  531 10:53:34.849357  Rank0: 1024MB(auto)-2T-13
  532 10:53:34.849507  
  533 10:53:34.854413  Rank1: 1024MB(auto)-2T-13
  534 10:53:34.854806  AddrBus test pass!
  535 10:53:34.860791  Load fip header from SD, src: 0x0000c200, des: 0x01400000, size: 0x00004000
  536 10:53:34.968875  New fip structure!
  537 10:53:34.973389  Load bl30 from SD, src: 0x00010200, des: 0x013c0000, size: 0x0000d600
  538 10:53:35.078395  Load bl31 from SD, src: 0x00020200, des: 0x05100000, size: 0x00007400
  539 10:53:35.183414  Load bl33 from SD, src: 0x00028200, des: 0x01000000, size: 0x000aac00
  540 10:53:36.343074  NOTICE:  BL31: v2.6(release):v2.6-457-g510155aa7-dirty
  541 10:53:36.348226  NOTICE:  BL31: Built : 18:23:41, Apr 14 2022
  542 10:53:36.348539  mpu_config_enable:ok
  543 10:53:36.357697  [Image: gxl_v1.1.3308-45470c4 2018-04-12 16:22:58 jenkins@walle02-sh]
  544 10:53:36.358113  OPS=0x84
  545 10:53:36.363239  21 0d 84 00 a9 ce 07 65 e3 c0 80 6a 0c 7b 9f 9c 
  546 10:53:36.363628  [3.520169 Inits done]
  547 10:53:36.370281  secure task start!
  548 10:53:36.370647  high task start!
  549 10:53:36.370811  low task start!
  550 10:53:36.370955  
  551 10:53:36.371095  <debug_uart>
  552 10:53:36.534814  
  553 10:53:36.535208  
  554 10:53:36.542388  U-Boot 2022.04-00708-g42a2d90cf5-dirty (Apr 17 2022 - 21:08:42 +0100) libretech-cc
  555 10:53:36.542778  
  556 10:53:36.542941  Model: Libre Computer AML-S905X-CC
  557 10:53:36.591946  SoC:   Amlogic Meson GXL (S905X) Revision 21:d (84:2)
  558 10:53:36.603674  DRAM:  2 GiB
  559 10:53:36.652895  Core:  160 devices, 24 uclasses, devicetree: separate
  560 10:53:36.674796  MMC:   mmc@72000: 0, mmc@74000: 1
  561 10:53:36.677244  Loading Environment from nowhere... OK
  562 10:53:37.773350  In:    serial
  563 10:53:37.773738  Out:   serial
  564 10:53:37.773927  Err:   serial
  565 10:53:37.810045  Net:   eth0: ethernet@c9410000
  567 10:53:37.811074  end: 2.4.2 bootloader-interrupt (duration 00:00:37) [common]
  568 10:53:37.811349  start: 2.4.3 bootloader-commands (timeout 00:04:23) [common]
  569 10:53:37.811549  Setting prompt string to ['=>']
  570 10:53:37.811734  bootloader-commands: Wait for prompt ['=>'] (timeout 00:04:23)
  571 10:53:37.818542  Hit any key to stop autoboot:  2  0 
  572 10:53:37.819277  Setting prompt string to ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image']
  574 10:53:37.920547  => setenv autoload no
  575 10:53:37.921060  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:22)
  576 10:53:37.926001  setenv autoload no
  578 10:53:38.027619  => setenv initrd_high 0xffffffff
  579 10:53:38.028131  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:22)
  580 10:53:38.033062  setenv initrd_high 0xffffffff
  582 10:53:38.134744  => setenv fdt_high 0xffffffff
  583 10:53:38.135258  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:22)
  584 10:53:38.140116  setenv fdt_high 0xffffffff
  586 10:53:38.241842  => dhcp
  587 10:53:38.242394  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:22)
  588 10:53:38.247117  dhcp
  589 10:53:39.352295  ethernet@c9410000 Waiting for PHY auto negotiation to complete.. done
  590 10:53:39.352607  Speed: 100, full duplex
  591 10:53:39.352800  BOOTP broadcast 1
  592 10:53:39.601029  BOOTP broadcast 2
  593 10:53:40.101736  BOOTP broadcast 3
  594 10:53:41.102893  BOOTP broadcast 4
  595 10:53:43.103751  BOOTP broadcast 5
  596 10:53:45.104692  BOOTP broadcast 6
  597 10:53:45.125718  *** Unhandled DHCP Option in OFFER/ACK: 42
  598 10:53:45.151433  *** Unhandled DHCP Option in OFFER/ACK: 42
  599 10:53:45.156997  DHCP client bound to address 192.168.56.38 (5800 ms)
  601 10:53:45.257916  => setenv serverip 192.168.56.76
  602 10:53:45.258286  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:15)
  603 10:53:45.262654  setenv serverip 192.168.56.76
  605 10:53:45.363499  => tftp 0x13000000 715541/tftp-deploy-3ocarnyo/kernel/uImage
  606 10:53:45.363888  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:15)
  607 10:53:45.367767  tftp 0x13000000 715541/tftp-deploy-3ocarnyo/kernel/uImage
  608 10:53:45.471401  Speed: 100, full duplex
  609 10:53:45.471650  Using ethernet@c9410000 device
  610 10:53:45.476826  TFTP from server 192.168.56.76; our IP address is 192.168.56.38
  611 10:53:45.482348  Filename '715541/tftp-deploy-3ocarnyo/kernel/uImage'.
  612 10:53:45.485966  Load address: 0x13000000
  613 10:53:45.639600  Loading: *#################################################################
  614 10:53:45.797938  	 #################################################################
  615 10:53:45.953465  	 #################################################################
  616 10:53:46.111814  	 #################################################################
  617 10:53:46.265451  	 #################################################################
  618 10:53:46.423133  	 #################################################################
  619 10:53:46.586508  	 #################################################################
  620 10:53:46.742423  	 #################################################################
  621 10:53:46.896289  	 #################################################################
  622 10:53:47.048503  	 #################################################################
  623 10:53:47.201155  	 #################################################################
  624 10:53:47.354791  	 #################################################################
  625 10:53:47.510183  	 #################################################################
  626 10:53:47.670348  	 #################################################################
  627 10:53:47.828210  	 #################################################################
  628 10:53:47.982290  	 #################################################################
  629 10:53:48.134510  	 #################################################################
  630 10:53:48.292257  	 #################################################################
  631 10:53:48.450380  	 #################################################################
  632 10:53:48.601851  	 #################################################################
  633 10:53:48.756393  	 #################################################################
  634 10:53:48.912191  	 #################################################################
  635 10:53:49.063875  	 #################################################################
  636 10:53:49.220848  	 #################################################################
  637 10:53:49.375199  	 #################################################################
  638 10:53:49.524981  	 #################################################################
  639 10:53:49.675188  	 #################################################################
  640 10:53:49.835645  	 #################################################################
  641 10:53:49.988784  	 #################################################################
  642 10:53:50.145506  	 #################################################################
  643 10:53:55.309746  	 ####################T #############################################
  644 10:53:55.482697  	 #################################################################
  645 10:53:55.625772  	 #################################################################
  646 10:53:55.780605  	 #################################################################
  647 10:53:55.941687  	 #################################################################
  648 10:53:56.112897  	 #################################################################
  649 10:53:56.249440  	 #################################################################
  650 10:53:56.403178  	 #################################################################
  651 10:53:56.556478  	 #################################################################
  652 10:53:56.709407  	 #################################################################
  653 10:53:56.864983  	 #################################################################
  654 10:53:57.017734  	 #################################################################
  655 10:53:57.194155  	 #################################################################
  656 10:53:57.332375  	 #################################################################
  657 10:53:57.492230  	 #################################################################
  658 10:53:57.645000  	 #################################################################
  659 10:53:57.798412  	 #################################################################
  660 10:53:57.951809  	 #############################################################
  661 10:53:57.952116  	 3.5 MiB/s
  662 10:53:57.952277  done
  663 10:53:57.954231  Bytes transferred = 45742656 (2b9fa40 hex)
  665 10:53:58.055844  => tftp 0x6000000 715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  666 10:53:58.056359  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:04:02)
  667 10:53:58.060644  tftp 0x6000000 715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot
  668 10:53:58.165355  Speed: 100, full duplex
  669 10:53:58.165694  Using ethernet@c9410000 device
  670 10:53:58.171247  TFTP from server 192.168.56.76; our IP address is 192.168.56.38
  671 10:53:58.179999  Filename '715541/tftp-deploy-3ocarnyo/ramdisk/ramdisk.cpio.gz.uboot'.
  672 10:53:58.180255  Load address: 0x6000000
  673 10:53:58.324487  Loading: *#################################################################
  674 10:53:58.493598  	 #################################################################
  675 10:53:58.650596  	 #################################################################
  676 10:53:58.809673  	 #################################################################
  677 10:53:58.954554  	 #################################################################
  678 10:53:59.125669  	 #################################################################
  679 10:53:59.282749  	 #################################################################
  680 10:53:59.433031  	 #################################################################
  681 10:53:59.600452  	 #################################################################
  682 10:53:59.742498  	 #################################################################
  683 10:54:00.004959  	 #################################################################
  684 10:54:00.049872  	 #################################################################
  685 10:54:00.333652  	 #################################################################
  686 10:54:00.356136  	 #################################################################
  687 10:54:00.520770  	 #################################################################
  688 10:54:00.798878  	 #################################################################
  689 10:54:00.821288  	 #################################################################
  690 10:54:01.035690  	 #################################################################
  691 10:54:01.151308  	 #################################################################
  692 10:54:01.319480  	 #################################################################
  693 10:54:01.446125  	 #################################################################
  694 10:54:01.602053  	 #################################################################
  695 10:54:01.758288  	 #################################################################
  696 10:54:01.940645  	 #################################################################
  697 10:54:01.988763  	 #############################
  698 10:54:01.989046  	 5.8 MiB/s
  699 10:54:01.989208  done
  700 10:54:01.992512  Bytes transferred = 23312635 (163b8fb hex)
  702 10:54:02.094137  => setenv initrd_size ${filesize}
  703 10:54:02.094657  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:03:58)
  704 10:54:02.100341  setenv initrd_size ${filesize}
  706 10:54:02.202067  => tftp 0x9000000 715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb
  707 10:54:02.202576  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:03:58)
  708 10:54:02.206980  tftp 0x9000000 715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb
  709 10:54:02.311976  Speed: 100, full duplex
  710 10:54:02.312365  Using ethernet@c9410000 device
  711 10:54:02.317343  TFTP from server 192.168.56.76; our IP address is 192.168.56.38
  712 10:54:02.332070  Filename '715541/tftp-deploy-3ocarnyo/dtb/meson-gxl-s905x-libretech-cc.dtb'.
  713 10:54:02.332377  Load address: 0x9000000
  714 10:54:02.332540  Loading: *###
  715 10:54:02.332686  	 3.5 MiB/s
  716 10:54:02.338284  done
  717 10:54:02.338541  Bytes transferred = 29393 (72d1 hex)
  719 10:54:02.439947  => setenv bootargs 'console=ttyAML0,115200n8 root=/dev/nfs rw nfsroot=192.168.56.76:/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh,tcp,hard console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp'
  720 10:54:02.440461  bootloader-commands: Wait for prompt ['=>', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:03:58)
  721 10:54:02.446179  setenv bootargs 'console=ttyAML0,115200n8 root=/dev/nfs rw nfsroot=192.168.56.76:/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh,tcp,hard console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp'
  723 10:54:02.547800  => bootm 0x13000000 0x6000000 0x9000000
  724 10:54:02.548323  Setting prompt string to ['Starting kernel', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image']
  725 10:54:02.548558  bootloader-commands: Wait for prompt ['Starting kernel', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:03:58)
  726 10:54:02.557214  bootm 0x13000000 0x6000000 0x9000000
  727 10:54:02.557616  ## Booting kernel from Legacy Image at 13000000 ...
  728 10:54:02.562487     Image Name:   
  729 10:54:02.568186     Image Type:   AArch64 Linux Kernel Image (uncompressed)
  730 10:54:02.568578     Data Size:    45742592 Bytes = 43.6 MiB
  731 10:54:02.570696     Load Address: 13000000
  732 10:54:02.575987     Entry Point:  13000000
  733 10:54:02.806411     Verifying Checksum ... OK
  734 10:54:02.806800  ## Loading init Ramdisk from Legacy Image at 06000000 ...
  735 10:54:02.811835     Image Name:   
  736 10:54:02.817426     Image Type:   AArch64 Linux RAMDisk Image (uncompressed)
  737 10:54:02.817847     Data Size:    23312571 Bytes = 22.2 MiB
  738 10:54:02.822932     Load Address: 00000000
  739 10:54:02.823319     Entry Point:  00000000
  740 10:54:02.943215     Verifying Checksum ... OK
  741 10:54:02.943604  ## Flattened Device Tree blob at 09000000
  742 10:54:02.950842     Booting using the fdt blob at 0x9000000
  743 10:54:02.951234     Loading Kernel Image
  744 10:54:02.989849     Loading Ramdisk to 7a908000, end 7bf438bb ... OK
  745 10:54:02.997999     Loading Device Tree to 000000007a8fd000, end 000000007a9072d0 ... OK
  746 10:54:02.998395  
  747 10:54:02.998558  Starting kernel ...
  748 10:54:02.998703  
  749 10:54:02.999211  end: 2.4.3 bootloader-commands (duration 00:00:25) [common]
  750 10:54:02.999451  start: 2.4.4 auto-login-action (timeout 00:03:57) [common]
  751 10:54:02.999634  Setting prompt string to ['Linux version [0-9]']
  752 10:54:02.999811  Setting prompt string to ['Linux version [0-9]', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image']
  753 10:54:02.999986  auto-login-action: Wait for prompt ['Linux version [0-9]', 'Resetting CPU', 'Must RESET board to recover', 'TIMEOUT', 'Retry count exceeded', 'Retry time exceeded; starting again', 'ERROR: The remote end did not respond in time.', 'File not found', 'Bad Linux ARM64 Image magic!', 'Wrong Ramdisk Image Format', 'Ramdisk image is corrupt or invalid', 'ERROR: Failed to allocate', 'TFTP error: trying to overwrite reserved memory', 'Bad Linux RISCV Image magic!', 'Wrong Image Format for boot', 'ERROR: Did not find a cmdline Flattened Device Tree', 'ERROR: RD image overlaps OS image'] (timeout 00:05:00)
  754 10:54:03.025310  [    0.000000] Booting Linux on physical CPU 0x0000000000 [0x410fd034]
  755 10:54:03.026083  start: 2.4.4.1 login-action (timeout 00:03:57) [common]
  756 10:54:03.026324  The string '/ #' does not look like a typical prompt and could match status messages instead. Please check the job log files and use a prompt string which matches the actual prompt string more closely.
  757 10:54:03.026506  Setting prompt string to []
  758 10:54:03.026694  Setting prompt string to ['-\\[ cut here \\]', 'Unhandled fault', 'BUG: KCSAN:', 'BUG: KASAN:', 'BUG: KFENCE:', 'Oops(?: -|:)', 'WARNING:', '(kernel BUG at|BUG:)', 'invalid opcode:', 'Kernel panic - not syncing']
  759 10:54:03.026876  Using line separator: #'\n'#
  760 10:54:03.027025  No login prompt set.
  761 10:54:03.027178  Parsing kernel messages
  762 10:54:03.027317  ['-\\[ cut here \\]', 'Unhandled fault', 'BUG: KCSAN:', 'BUG: KASAN:', 'BUG: KFENCE:', 'Oops(?: -|:)', 'WARNING:', '(kernel BUG at|BUG:)', 'invalid opcode:', 'Kernel panic - not syncing', '/ #', 'Login timed out', 'Login incorrect']
  763 10:54:03.027612  [login-action] Waiting for messages, (timeout 00:03:57)
  764 10:54:03.027777  Waiting using forced prompt support (timeout 00:01:59)
  765 10:54:03.041742  [    0.000000] Linux version 6.11.0-rc6-next-20240906 (KernelCI@build-j310118-arm64-gcc-12-defconfig-b89p5) (aarch64-linux-gnu-gcc (Debian 12.2.0-14) 12.2.0, GNU ld (GNU Binutils for Debian) 2.40) #1 SMP PREEMPT Fri Sep  6 10:01:58 UTC 2024
  766 10:54:03.047289  [    0.000000] KASLR disabled due to lack of seed
  767 10:54:03.052791  [    0.000000] Machine model: Libre Computer AML-S905X-CC
  768 10:54:03.058297  [    0.000000] efi: UEFI not found.
  769 10:54:03.063832  [    0.000000] Reserved memory: created CMA memory pool at 0x000000006a800000, size 256 MiB
  770 10:54:03.074898  [    0.000000] OF: reserved mem: initialized node linux,cma, compatible id shared-dma-pool
  771 10:54:03.080476  [    0.000000] OF: reserved mem: 0x000000006a800000..0x000000007a7fffff (262144 KiB) map reusable linux,cma
  772 10:54:03.091271  [    0.000000] OF: reserved mem: 0x0000000000000000..0x0000000000ffffff (16384 KiB) nomap non-reusable hwrom@0
  773 10:54:03.102315  [    0.000000] OF: reserved mem: 0x0000000005000000..0x00000000052fffff (3072 KiB) nomap non-reusable secmon@5000000
  774 10:54:03.113524  [    0.000000] OF: reserved mem: 0x0000000005300000..0x00000000072fffff (32768 KiB) nomap non-reusable secmon@5300000
  775 10:54:03.124466  [    0.000000] OF: reserved mem: 0x0000000010000000..0x00000000101fffff (2048 KiB) nomap non-reusable secmon@10000000
  776 10:54:03.130269  [    0.000000] earlycon: meson0 at MMIO 0x00000000c81004c0 (options '115200n8')
  777 10:54:03.135507  [    0.000000] printk: legacy bootconsole [meson0] enabled
  778 10:54:03.141229  [    0.000000] NUMA: Faking a node at [mem 0x0000000000000000-0x000000007fe5afff]
  779 10:54:03.146419  [    0.000000] NODE_DATA(0) allocated [mem 0x7fa4d380-0x7fa4f9bf]
  780 10:54:03.152264  [    0.000000] Zone ranges:
  781 10:54:03.157697  [    0.000000]   DMA      [mem 0x0000000000000000-0x000000007fe5afff]
  782 10:54:03.158115  [    0.000000]   DMA32    empty
  783 10:54:03.163238  [    0.000000]   Normal   empty
  784 10:54:03.168573  [    0.000000] Movable zone start for each node
  785 10:54:03.168923  [    0.000000] Early memory node ranges
  786 10:54:03.174290  [    0.000000]   node   0: [mem 0x0000000000000000-0x0000000000ffffff]
  787 10:54:03.179692  [    0.000000]   node   0: [mem 0x0000000001000000-0x0000000004ffffff]
  788 10:54:03.190924  [    0.000000]   node   0: [mem 0x0000000005000000-0x00000000072fffff]
  789 10:54:03.196340  [    0.000000]   node   0: [mem 0x0000000007300000-0x000000000fffffff]
  790 10:54:03.201895  [    0.000000]   node   0: [mem 0x0000000010000000-0x00000000101fffff]
  791 10:54:03.207467  [    0.000000]   node   0: [mem 0x0000000010200000-0x000000007fe5afff]
  792 10:54:03.213336  [    0.000000] Initmem setup node 0 [mem 0x0000000000000000-0x000000007fe5afff]
  793 10:54:03.227603  [    0.000000] On node 0, zone DMA: 421 pages in unavailable ranges
  794 10:54:03.227993  [    0.000000] psci: probing for conduit method from DT.
  795 10:54:03.233124  [    0.000000] psci: PSCIv1.1 detected in firmware.
  796 10:54:03.238513  [    0.000000] psci: Using standard PSCI v0.2 function IDs
  797 10:54:03.244154  [    0.000000] psci: MIGRATE_INFO_TYPE not supported.
  798 10:54:03.249651  [    0.000000] psci: SMC Calling Convention v1.2
  799 10:54:03.255241  [    0.000000] percpu: Embedded 25 pages/cpu s61656 r8192 d32552 u102400
  800 10:54:03.260715  [    0.000000] Detected VIPT I-cache on CPU0
  801 10:54:03.266266  [    0.000000] CPU features: detected: ARM erratum 845719
  802 10:54:03.271741  [    0.000000] alternatives: applying boot alternatives
  803 10:54:03.288315  [    0.000000] Kernel command line: console=ttyAML0,115200n8 root=/dev/nfs rw nfsroot=192.168.56.76:/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh,tcp,hard console_msg_format=syslog earlycon deferred_probe_timeout=60 ip=dhcp
  804 10:54:03.299500  <6>[    0.000000] Dentry cache hash table entries: 262144 (order: 9, 2097152 bytes, linear)
  805 10:54:03.304865  <6>[    0.000000] Inode-cache hash table entries: 131072 (order: 8, 1048576 bytes, linear)
  806 10:54:03.310567  <6>[    0.000000] Fallback order for Node 0: 0 
  807 10:54:03.315899  <6>[    0.000000] Built 1 zonelists, mobility grouping on.  Total pages: 523867
  808 10:54:03.321639  <6>[    0.000000] Policy zone: DMA
  809 10:54:03.326859  <6>[    0.000000] mem auto-init: stack:all(zero), heap alloc:off, heap free:off
  810 10:54:03.332564  <6>[    0.000000] software IO TLB: SWIOTLB bounce buffer size adjusted to 2MB
  811 10:54:03.337986  <6>[    0.000000] software IO TLB: area num 4.
  812 10:54:03.345833  <6>[    0.000000] software IO TLB: mapped [mem 0x000000007d300000-0x000000007d500000] (2MB)
  813 10:54:03.371634  <6>[    0.000000] SLUB: HWalign=64, Order=0-3, MinObjects=0, CPUs=4, Nodes=1
  814 10:54:03.377132  <6>[    0.000000] rcu: Preemptible hierarchical RCU implementation.
  815 10:54:03.380706  <6>[    0.000000] rcu: 	RCU event tracing is enabled.
  816 10:54:03.386129  <6>[    0.000000] rcu: 	RCU restricting CPUs from NR_CPUS=512 to nr_cpu_ids=4.
  817 10:54:03.391680  <6>[    0.000000] 	Trampoline variant of Tasks RCU enabled.
  818 10:54:03.397138  <6>[    0.000000] 	Tracing variant of Tasks RCU enabled.
  819 10:54:03.408248  <6>[    0.000000] rcu: RCU calculated value of scheduler-enlistment delay is 25 jiffies.
  820 10:54:03.413755  <6>[    0.000000] rcu: Adjusting geometry for rcu_fanout_leaf=16, nr_cpu_ids=4
  821 10:54:03.419194  <6>[    0.000000] RCU Tasks: Setting shift to 2 and lim to 1 rcu_task_cb_adjust=1 rcu_task_cpu_ids=4.
  822 10:54:03.430260  <6>[    0.000000] RCU Tasks Trace: Setting shift to 2 and lim to 1 rcu_task_cb_adjust=1 rcu_task_cpu_ids=4.
  823 10:54:03.435689  <6>[    0.000000] NR_IRQS: 64, nr_irqs: 64, preallocated irqs: 0
  824 10:54:03.441232  <6>[    0.000000] Root IRQ handler: gic_handle_irq
  825 10:54:03.446882  <6>[    0.000000] GIC: Using split EOI/Deactivate mode
  826 10:54:03.452258  <6>[    0.000000] rcu: srcu_init: Setting srcu_struct sizes based on contention.
  827 10:54:03.457916  <6>[    0.000000] arch_timer: cp15 timer(s) running at 24.00MHz (phys).
  828 10:54:03.468803  <6>[    0.000000] clocksource: arch_sys_counter: mask: 0xffffffffffffff max_cycles: 0x588fe9dc0, max_idle_ns: 440795202592 ns
  829 10:54:03.479489  <6>[    0.000000] sched_clock: 56 bits at 24MHz, resolution 41ns, wraps every 4398046511097ns
  830 10:54:03.485456  <6>[    0.009407] Console: colour dummy device 80x25
  831 10:54:03.490948  <6>[    0.012937] Calibrating delay loop (skipped), value calculated using timer frequency.. 48.00 BogoMIPS (lpj=96000)
  832 10:54:03.496430  <6>[    0.023303] pid_max: default: 32768 minimum: 301
  833 10:54:03.501994  <6>[    0.028190] LSM: initializing lsm=capability
  834 10:54:03.513043  <6>[    0.032729] Mount-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
  835 10:54:03.518496  <6>[    0.040207] Mountpoint-cache hash table entries: 4096 (order: 3, 32768 bytes, linear)
  836 10:54:03.543750  <6>[    0.076369] rcu: Hierarchical SRCU implementation.
  837 10:54:03.549265  <6>[    0.076408] rcu: 	Max phase no-delay instances is 1000.
  838 10:54:03.560230  <6>[    0.081449] Timer migration: 1 hierarchy levels; 8 children per group; 1 crossnode level
  839 10:54:03.565853  <6>[    0.091627] EFI services will not be available.
  840 10:54:03.569534  <6>[    0.098335] smp: Bringing up secondary CPUs ...
  841 10:54:03.582275  <6>[    0.099533] Detected VIPT I-cache on CPU1
  842 10:54:03.587811  <6>[    0.099642] CPU1: Booted secondary processor 0x0000000001 [0x410fd034]
  843 10:54:03.593231  <6>[    0.106531] Detected VIPT I-cache on CPU2
  844 10:54:03.598820  <6>[    0.106640] CPU2: Booted secondary processor 0x0000000002 [0x410fd034]
  845 10:54:03.604344  <6>[    0.114643] Detected VIPT I-cache on CPU3
  846 10:54:03.609916  <6>[    0.114756] CPU3: Booted secondary processor 0x0000000003 [0x410fd034]
  847 10:54:03.615537  <6>[    0.114910] smp: Brought up 1 node, 4 CPUs
  848 10:54:03.620925  <6>[    0.146522] SMP: Total of 4 processors activated.
  849 10:54:03.626528  <6>[    0.151426] CPU: All CPU(s) started at EL2
  850 10:54:03.631944  <6>[    0.155782] CPU features: detected: 32-bit EL0 Support
  851 10:54:03.637545  <6>[    0.161090] CPU features: detected: 32-bit EL1 Support
  852 10:54:03.643240  <6>[    0.166435] CPU features: detected: CRC32 instructions
  853 10:54:03.648449  <6>[    0.171827] alternatives: applying system-wide alternatives
  854 10:54:03.659619  <6>[    0.178816] Memory: 1662888K/2095468K available (17280K kernel code, 4926K rwdata, 11856K rodata, 10432K init, 742K bss, 166024K reserved, 262144K cma-reserved)
  855 10:54:03.665646  <6>[    0.193046] devtmpfs: initialized
  856 10:54:03.676590  <6>[    0.201712] clocksource: jiffies: mask: 0xffffffff max_cycles: 0xffffffff, max_idle_ns: 7645041785100000 ns
  857 10:54:03.682643  <6>[    0.206097] futex hash table entries: 1024 (order: 4, 65536 bytes, linear)
  858 10:54:03.688468  <6>[    0.219380] 21392 pages in range for non-PLT usage
  859 10:54:03.693689  <6>[    0.219399] 512912 pages in range for PLT usage
  860 10:54:03.699468  <6>[    0.219635] pinctrl core: initialized pinctrl subsystem
  861 10:54:03.704866  <6>[    0.230961] DMI not present or invalid.
  862 10:54:03.710376  <6>[    0.235475] NET: Registered PF_NETLINK/PF_ROUTE protocol family
  863 10:54:03.715997  <6>[    0.240511] DMA: preallocated 256 KiB GFP_KERNEL pool for atomic allocations
  864 10:54:03.727046  <6>[    0.247141] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA pool for atomic allocations
  865 10:54:03.732593  <6>[    0.255329] DMA: preallocated 256 KiB GFP_KERNEL|GFP_DMA32 pool for atomic allocations
  866 10:54:03.738096  <6>[    0.262930] audit: initializing netlink subsys (disabled)
  867 10:54:03.743584  <5>[    0.268349] audit: type=2000 audit(0.188:1): state=initialized audit_enabled=0 res=1
  868 10:54:03.754492  <6>[    0.269921] thermal_sys: Registered thermal governor 'step_wise'
  869 10:54:03.760205  <6>[    0.276037] thermal_sys: Registered thermal governor 'power_allocator'
  870 10:54:03.765685  <6>[    0.282300] cpuidle: using governor menu
  871 10:54:03.771201  <6>[    0.293359] hw-breakpoint: found 6 breakpoint and 4 watchpoint registers.
  872 10:54:03.776740  <6>[    0.300175] ASID allocator initialised with 65536 entries
  873 10:54:03.780422  <6>[    0.307977] Serial: AMBA PL011 UART driver
  874 10:54:03.808572  <6>[    0.335537] platform d0100000.vpu: Fixed dependency cycle(s) with /soc/hdmi-tx@c883a000
  875 10:54:03.813950  <6>[    0.338796] platform d0100000.vpu: Fixed dependency cycle(s) with /soc/hdmi-tx@c883a000
  876 10:54:03.824935  <6>[    0.346450] platform c883a000.hdmi-tx: Fixed dependency cycle(s) with /soc/vpu@d0100000
  877 10:54:03.830581  <6>[    0.357347] platform d0100000.vpu: Fixed dependency cycle(s) with /cvbs-connector
  878 10:54:03.841565  <6>[    0.362340] platform cvbs-connector: Fixed dependency cycle(s) with /soc/vpu@d0100000
  879 10:54:03.847077  <6>[    0.371243] platform c883a000.hdmi-tx: Fixed dependency cycle(s) with /hdmi-connector
  880 10:54:03.858135  <6>[    0.378388] platform hdmi-connector: Fixed dependency cycle(s) with /soc/hdmi-tx@c883a000
  881 10:54:03.863629  <6>[    0.391614] HugeTLB: registered 1.00 GiB page size, pre-allocated 0 pages
  882 10:54:03.869082  <6>[    0.393619] HugeTLB: 0 KiB vmemmap can be freed for a 1.00 GiB page
  883 10:54:03.874685  <6>[    0.400107] HugeTLB: registered 32.0 MiB page size, pre-allocated 0 pages
  884 10:54:03.885721  <6>[    0.407078] HugeTLB: 0 KiB vmemmap can be freed for a 32.0 MiB page
  885 10:54:03.891227  <6>[    0.413548] HugeTLB: registered 2.00 MiB page size, pre-allocated 0 pages
  886 10:54:03.896751  <6>[    0.420532] HugeTLB: 0 KiB vmemmap can be freed for a 2.00 MiB page
  887 10:54:03.902335  <6>[    0.427003] HugeTLB: registered 64.0 KiB page size, pre-allocated 0 pages
  888 10:54:03.913245  <6>[    0.433988] HugeTLB: 0 KiB vmemmap can be freed for a 64.0 KiB page
  889 10:54:03.913603  <6>[    0.442601] ACPI: Interpreter disabled.
  890 10:54:03.919018  <6>[    0.447629] iommu: Default domain type: Translated
  891 10:54:03.924274  <6>[    0.449509] iommu: DMA domain TLB invalidation policy: strict mode
  892 10:54:03.929908  <5>[    0.456323] SCSI subsystem initialized
  893 10:54:03.935365  <6>[    0.460333] usbcore: registered new interface driver usbfs
  894 10:54:03.940930  <6>[    0.465587] usbcore: registered new interface driver hub
  895 10:54:03.946819  <6>[    0.471108] usbcore: registered new device driver usb
  896 10:54:03.951851  <6>[    0.477448] pps_core: LinuxPPS API ver. 1 registered
  897 10:54:03.962992  <6>[    0.481520] pps_core: Software ver. 5.3.6 - Copyright 2005-2007 Rodolfo Giometti <giometti@linux.it>
  898 10:54:03.963394  <6>[    0.490840] PTP clock support registered
  899 10:54:03.968518  <6>[    0.495097] EDAC MC: Ver: 3.0.0
  900 10:54:03.974129  <6>[    0.498936] scmi_core: SCMI protocol bus registered
  901 10:54:03.979586  <6>[    0.504680] FPGA manager framework
  902 10:54:03.985002  <6>[    0.507131] Advanced Linux Sound Architecture Driver Initialized.
  903 10:54:03.985339  <6>[    0.514499] vgaarb: loaded
  904 10:54:03.990644  <6>[    0.516870] clocksource: Switched to clocksource arch_sys_counter
  905 10:54:03.996111  <5>[    0.522868] VFS: Disk quotas dquot_6.6.0
  906 10:54:04.001602  <6>[    0.526740] VFS: Dquot-cache hash table entries: 512 (order 0, 4096 bytes)
  907 10:54:04.008556  <6>[    0.534039] pnp: PnP ACPI: disabled
  908 10:54:04.014205  <6>[    0.544556] NET: Registered PF_INET protocol family
  909 10:54:04.019711  <6>[    0.544758] IP idents hash table entries: 32768 (order: 6, 262144 bytes, linear)
  910 10:54:04.030494  <6>[    0.553268] tcp_listen_portaddr_hash hash table entries: 1024 (order: 2, 16384 bytes, linear)
  911 10:54:04.036263  <6>[    0.560523] Table-perturb hash table entries: 65536 (order: 6, 262144 bytes, linear)
  912 10:54:04.047345  <6>[    0.568327] TCP established hash table entries: 16384 (order: 5, 131072 bytes, linear)
  913 10:54:04.052816  <6>[    0.576519] TCP bind hash table entries: 16384 (order: 7, 524288 bytes, linear)
  914 10:54:04.058347  <6>[    0.584307] TCP: Hash tables configured (established 16384 bind 16384)
  915 10:54:04.069393  <6>[    0.590809] UDP hash table entries: 1024 (order: 3, 32768 bytes, linear)
  916 10:54:04.074923  <6>[    0.597600] UDP-Lite hash table entries: 1024 (order: 3, 32768 bytes, linear)
  917 10:54:04.080321  <6>[    0.605052] NET: Registered PF_UNIX/PF_LOCAL protocol family
  918 10:54:04.085986  <6>[    0.611227] RPC: Registered named UNIX socket transport module.
  919 10:54:04.091428  <6>[    0.616889] RPC: Registered udp transport module.
  920 10:54:04.097090  <6>[    0.621782] RPC: Registered tcp transport module.
  921 10:54:04.102465  <6>[    0.626697] RPC: Registered tcp-with-tls transport module.
  922 10:54:04.108055  <6>[    0.632389] RPC: Registered tcp NFSv4.1 backchannel transport module.
  923 10:54:04.113599  <6>[    0.639043] PCI: CLS 0 bytes, default 64
  924 10:54:04.119065  <6>[    0.643455] Unpacking initramfs...
  925 10:54:04.124613  <6>[    0.650736] kvm [1]: nv: 554 coarse grained trap handlers
  926 10:54:04.125006  <6>[    0.652900] kvm [1]: IPA Size Limit: 40 bits
  927 10:54:04.130120  <6>[    0.659336] kvm [1]: vgic interrupt IRQ9
  928 10:54:04.135597  <6>[    0.661083] kvm [1]: Hyp nVHE mode initialized successfully
  929 10:54:04.141180  <5>[    0.668364] Initialise system trusted keyrings
  930 10:54:04.146456  <6>[    0.671795] workingset: timestamp_bits=42 max_order=19 bucket_order=0
  931 10:54:04.152159  <6>[    0.678532] squashfs: version 4.0 (2009/01/31) Phillip Lougher
  932 10:54:04.157679  <5>[    0.684620] NFS: Registering the id_resolver key type
  933 10:54:04.163231  <5>[    0.689501] Key type id_resolver registered
  934 10:54:04.168671  <5>[    0.693817] Key type id_legacy registered
  935 10:54:04.174254  <6>[    0.698112] nfs4filelayout_init: NFSv4 File Layout Driver Registering...
  936 10:54:04.185358  <6>[    0.704954] nfs4flexfilelayout_init: NFSv4 Flexfile Layout Driver Registering...
  937 10:54:04.188651  <6>[    0.712843] 9p: Installing v9fs 9p2000 file system support
  938 10:54:04.238994  <5>[    0.771600] Key type asymmetric registered
  939 10:54:04.244617  <5>[    0.771654] Asymmetric key parser 'x509' registered
  940 10:54:04.253344  <6>[    0.775576] Block layer SCSI generic (bsg) driver version 0.4 loaded (major 245)
  941 10:54:04.259068  <6>[    0.783062] io scheduler mq-deadline registered
  942 10:54:04.264531  <6>[    0.787796] io scheduler kyber registered
  943 10:54:04.264837  <6>[    0.792074] io scheduler bfq registered
  944 10:54:04.273024  <6>[    0.798019] irq_meson_gpio: 110 to 8 gpio interrupt mux initialized
  945 10:54:04.328432  <6>[    0.856046] soc soc0: Amlogic Meson GXL (S905X) Revision 21:d (84:2) Detected
  946 10:54:04.347652  <6>[    0.874086] Serial: 8250/16550 driver, 4 ports, IRQ sharing enabled
  947 10:54:04.353218  <6>[    0.881144] c81004c0.serial: ttyAML0 at MMIO 0xc81004c0 (irq = 14, base_baud = 1500000) is a meson_uart
  948 10:54:04.361567  <6>[    0.890835] printk: legacy console [ttyAML0] enabled
  949 10:54:04.367076  <6>[    0.890835] printk: legacy console [ttyAML0] enabled
  950 10:54:04.372566  <6>[    0.895652] printk: legacy bootconsole [meson0] disabled
  951 10:54:04.376126  <6>[    0.895652] printk: legacy bootconsole [meson0] disabled
  952 10:54:04.389407  <6>[    0.921972] msm_serial: driver initialized
  953 10:54:04.394678  <6>[    0.922711] SuperH (H)SCI(F) driver initialized
  954 10:54:04.400390  <6>[    0.925918] STM32 USART driver initialized
  955 10:54:04.403014  <5>[    0.932821] random: crng init done
  956 10:54:04.409587  <6>[    0.942229] loop: module loaded
  957 10:54:04.413691  <6>[    0.944180] megasas: 07.727.03.00-rc1
  958 10:54:04.423307  <6>[    0.955938] tun: Universal TUN/TAP device driver, 1.6
  959 10:54:04.428865  <6>[    0.957998] thunder_xcv, ver 1.0
  960 10:54:04.434386  <6>[    0.959124] thunder_bgx, ver 1.0
  961 10:54:04.434717  <6>[    0.962640] nicpf, ver 1.0
  962 10:54:04.445302  <6>[    0.968161] hns3: Hisilicon Ethernet Network Driver for Hip08 Family - version
  963 10:54:04.451037  <6>[    0.972944] hns3: Copyright (c) 2017 Huawei Corporation.
  964 10:54:04.451431  <6>[    0.978524] hclge is initializing
  965 10:54:04.456351  <6>[    0.982036] e1000: Intel(R) PRO/1000 Network Driver
  966 10:54:04.461976  <6>[    0.987057] e1000: Copyright (c) 1999-2006 Intel Corporation.
  967 10:54:04.467407  <6>[    0.993078] e1000e: Intel(R) PRO/1000 Network Driver
  968 10:54:04.472908  <6>[    0.998179] e1000e: Copyright(c) 1999 - 2015 Intel Corporation.
  969 10:54:04.478740  <6>[    1.004366] igb: Intel(R) Gigabit Ethernet Network Driver
  970 10:54:04.483946  <6>[    1.009900] igb: Copyright (c) 2007-2014 Intel Corporation.
  971 10:54:04.489873  <6>[    1.015739] igbvf: Intel(R) Gigabit Virtual Function Network Driver
  972 10:54:04.500665  <6>[    1.022154] igbvf: Copyright (c) 2009 - 2012 Intel Corporation.
  973 10:54:04.500974  <6>[    1.029300] sky2: driver version 1.30
  974 10:54:04.506988  <6>[    1.035310] VFIO - User Level meta-driver version: 0.3
  975 10:54:04.513938  <6>[    1.043920] usbcore: registered new interface driver usb-storage
  976 10:54:04.520967  <6>[    1.051632] i2c_dev: i2c /dev entries driver
  977 10:54:04.540740  <6>[    1.067822] sdhci: Secure Digital Host Controller Interface driver
  978 10:54:04.541047  <6>[    1.068629] sdhci: Copyright(c) Pierre Ossman
  979 10:54:04.549977  <6>[    1.075281] Synopsys Designware Multimedia Card Interface Driver
  980 10:54:04.555215  <6>[    1.081942] meson-gx-mmc d0074000.mmc: allocated mmc-pwrseq
  981 10:54:04.561567  <6>[    1.082155] sdhci-pltfm: SDHCI platform and OF driver helper
  982 10:54:04.595955  <4>[    1.123024] meson-gx-mmc d0074000.mmc: no support for card's volts
  983 10:54:04.599005  <3>[    1.123840] mmc1: error -22 whilst initialising SDIO card
  984 10:54:05.224169  <6>[    1.756016] Freeing initrd memory: 22764K
  985 10:54:05.229836  <6>[    1.760984] ledtrig-cpu: registered to indicate activity on CPUs
  986 10:54:05.235192  <6>[    1.764106] meson-sm: secure-monitor enabled
  987 10:54:05.240767  <6>[    1.766498] SMCCC: SOC_ID: ARCH_SOC_ID not implemented, skipping ....
  988 10:54:05.246300  <6>[    1.774641] usbcore: registered new interface driver usbhid
  989 10:54:05.251762  <6>[    1.778556] usbhid: USB HID core driver
  990 10:54:05.258021  <6>[    1.784510] platform-mhu c883c404.mailbox: Platform MHU Mailbox registered
  991 10:54:05.269614  <6>[    1.795968] hw perfevents: enabled with armv8_cortex_a53 PMU driver, 7 (0,8000003f) counters available
  992 10:54:05.277506  <6>[    1.810120] NET: Registered PF_PACKET protocol family
  993 10:54:05.283038  <6>[    1.810242] 9pnet: Installing 9P2000 support
  994 10:54:05.288415  <5>[    1.814409] Key type dns_resolver registered
  995 10:54:05.296116  <6>[    1.828715] registered taskstats version 1
  996 10:54:05.303602  <5>[    1.828919] Loading compiled-in X.509 certificates
  997 10:54:05.309594  <6>[    1.840011] Demotion targets for Node 0: null
  998 10:54:05.330527  <6>[    1.863086] dwc3-meson-g12a d0078080.usb: USB2 ports: 2
  999 10:54:05.338910  <6>[    1.863131] dwc3-meson-g12a d0078080.usb: USB3 ports: 0
 1000 10:54:05.616469  <3>[    2.146099] mmc1: Card stuck being busy! __mmc_poll_for_busy
 1001 10:54:05.852410  <4>[    2.379475] dwc2 c9100000.usb: supply vusb_d not found, using dummy regulator
 1002 10:54:05.857931  <4>[    2.381436] dwc2 c9100000.usb: supply vusb_a not found, using dummy regulator
 1003 10:54:05.866974  <6>[    2.388906] dwc2 c9100000.usb: EPs: 7, dedicated fifos, 712 entries in SPRAM
 1004 10:54:05.872493  <6>[    2.399825] xhci-hcd xhci-hcd.0.auto: xHCI Host Controller
 1005 10:54:05.878005  <6>[    2.401569] xhci-hcd xhci-hcd.0.auto: new USB bus registered, assigned bus number 1
 1006 10:54:05.883600  <6>[    2.409508] xhci-hcd xhci-hcd.0.auto: USB3 root hub has no ports
 1007 10:54:05.894589  <6>[    2.415580] xhci-hcd xhci-hcd.0.auto: hcc params 0x0228f664 hci version 0x100 quirks 0x0000808002000010
 1008 10:54:05.900097  <6>[    2.425197] xhci-hcd xhci-hcd.0.auto: irq 25, io mem 0xc9000000
 1009 10:54:05.905650  <6>[    2.432102] hub 1-0:1.0: USB hub found
 1010 10:54:05.912143  <6>[    2.435281] hub 1-0:1.0: 2 ports detected
 1011 10:54:05.917662  <6>[    2.446039] scpi_protocol scpi: SCP Protocol legacy pre-1.0 firmware
 1012 10:54:05.924670  <6>[    2.446541] dmeson-gx-mmc d0072000.mmc: Got CD GPIO
 1013 10:54:05.925060  omain-0 init dvfs: 4
 1014 10:54:05.953198  <6>[    2.482064] Trying to probe devices needed for running init ...
 1015 10:54:06.004877  <6>[    2.531792] mmc0: new high speed SDXC card at address 59b4
 1016 10:54:06.005270  <6>[    2.532772] mmcblk0: mmc0:59b4 SD128 119 GiB
 1017 10:54:06.010235  <6>[    2.539512]  mmcblk0: p1 p2 p3
 1018 10:54:06.014440  <4>[    2.540066] mmcblk0: p3 size 1224704 extends beyond EOD, truncated
 1019 10:54:06.156608  <6>[    2.684902] usb 1-1: new high-speed USB device number 2 using xhci-hcd
 1020 10:54:06.349110  <6>[    2.881568] hub 1-1:1.0: USB hub found
 1021 10:54:06.354870  <6>[    2.882397] hub 1-1:1.0: 4 ports detected
 1022 10:54:18.229932  <6>[   14.761753] clk: Disabling unused clocks
 1023 10:54:18.234892  <6>[   14.762885] PM: genpd: Disabling unused power domains
 1024 10:54:18.243374  <6>[   14.766143] ALSA device list:
 1025 10:54:18.243763  <6>[   14.769176]   No soundcards found.
 1026 10:54:18.279150  <6>[   14.811327] Freeing unused kernel memory: 10432K
 1027 10:54:18.285479  <6>[   14.811707] Run /init as init process
 1028 10:54:18.301194  Loading, please wait...
 1029 10:54:18.354593  Starting systemd-udevd version 252.22-1~deb12u1
 1030 10:54:18.999889  <6>[   15.530103] mc: Linux media interface: v0.10
 1031 10:54:19.017721  <6>[   15.544953] gxl-crypto c883e000.crypto: will run requests pump with realtime priority
 1032 10:54:19.026137  <6>[   15.552329] gxl-crypto c883e000.crypto: will run requests pump with realtime priority
 1033 10:54:19.037176  <6>[   15.563796] meson8b-dwmac c9410000.ethernet: IRQ eth_wake_irq not found
 1034 10:54:19.042756  <6>[   15.565089] meson8b-dwmac c9410000.ethernet: IRQ eth_lpi not found
 1035 10:54:19.048169  <6>[   15.571481] meson8b-dwmac c9410000.ethernet: IRQ sfty not found
 1036 10:54:19.053734  <6>[   15.577691] meson8b-dwmac c9410000.ethernet: PTP uses main clock
 1037 10:54:19.059243  <6>[   15.584708] meson8b-dwmac c9410000.ethernet: User ID: 0x11, Synopsys ID: 0x37
 1038 10:54:19.064755  <6>[   15.585852] videodev: Linux video capture interface: v2.00
 1039 10:54:19.070437  <6>[   15.591150] meson8b-dwmac c9410000.ethernet: 	DWMAC1000
 1040 10:54:19.081650  <6>[   15.602309] meson8b-dwmac c9410000.ethernet: DMA HW capability register supported
 1041 10:54:19.087017  <6>[   15.609930] meson8b-dwmac c9410000.ethernet: RX Checksum Offload Engine supported
 1042 10:54:19.092510  <6>[   15.617601] meson8b-dwmac c9410000.ethernet: COE Type 2
 1043 10:54:19.097808  <6>[   15.623038] meson8b-dwmac c9410000.ethernet: TX Checksum insertion supported
 1044 10:54:19.108762  <6>[   15.630281] meson8b-dwmac c9410000.ethernet: Wake-Up On Lan supported
 1045 10:54:19.114280  <6>[   15.638834] meson-drm d0100000.vpu: Queued 2 outputs on vpu
 1046 10:54:19.114517  <6>[   15.643412] Registered IR keymap rc-empty
 1047 10:54:19.125452  <6>[   15.644771] meson8b-dwmac c9410000.ethernet: Normal descriptors
 1048 10:54:19.130815  <6>[   15.647144] rc rc0: meson-ir as /devices/platform/soc/c8100000.bus/c8100580.ir/rc/rc0
 1049 10:54:19.136380  <6>[   15.653779] meson8b-dwmac c9410000.ethernet: Ring mode enabled
 1050 10:54:19.147397  <6>[   15.667153] meson8b-dwmac c9410000.ethernet: Enable RX Mitigation via HW Watchdog Timer
 1051 10:54:19.153018  <6>[   15.676767] input: meson-ir as /devices/platform/soc/c8100000.bus/c8100580.ir/rc/rc0/input0
 1052 10:54:19.158459  <6>[   15.679759] lima d00c0000.gpu: gp - mali450 version major 0 minor 0
 1053 10:54:19.164012  <6>[   15.689884] rc rc0: sw decoder init
 1054 10:54:19.169615  <6>[   15.694601] meson-ir c8100580.ir: receiver initialized
 1055 10:54:19.180895  <6>[   15.697082] meson-dw-hdmi c883a000.hdmi-tx: Detected HDMI TX controller v2.01a with HDCP (meson_dw_hdmi_phy)
 1056 10:54:19.191611  <4>[   15.697501] meson_vdec: module is from the staging directory, the quality is unknown, you have been warned.
 1057 10:54:19.197083  <6>[   15.719357] lima d00c0000.gpu: pp0 - mali450 version major 0 minor 0
 1058 10:54:19.202593  <6>[   15.726190] lima d00c0000.gpu: pp1 - mali450 version major 0 minor 0
 1059 10:54:19.208261  <6>[   15.732600] lima d00c0000.gpu: pp2 - mali450 version major 0 minor 0
 1060 10:54:19.219330  <6>[   15.739093] lima d00c0000.gpu: l2_cache0 8K, 4-way, 64byte cache line, 128bit external bus
 1061 10:54:19.224741  <6>[   15.742046] meson-dw-hdmi c883a000.hdmi-tx: registered DesignWare HDMI I2C bus driver
 1062 10:54:19.235729  <6>[   15.747410] lima d00c0000.gpu: l2_cache1 64K, 4-way, 64byte cache line, 128bit external bus
 1063 10:54:19.241259  <6>[   15.760476] meson-drm d0100000.vpu: bound c883a000.hdmi-tx (ops meson_dw_hdmi_ops [meson_dw_hdmi])
 1064 10:54:19.246791  <6>[   15.770216] lima d00c0000.gpu: bus rate = 166666667
 1065 10:54:19.252309  <6>[   15.779290] [drm] Initialized meson 1.0.0 for d0100000.vpu on minor 0
 1066 10:54:19.258304  <6>[   15.785485] lima d00c0000.gpu: mod rate = 24000000
 1067 10:54:19.269302  <3>[   15.790734] lima d00c0000.gpu: error -ENODEV: _opp_set_regulators: no regulator (mali) found
 1068 10:54:19.274855  <6>[   15.796929] usbcore: registered new device driver onboard-usb-dev
 1069 10:54:19.281809  <6>[   15.801497] [drm] Initialized lima 1.1.0 for d00c0000.gpu on minor 1
 1070 10:54:19.331502  <6>[   15.833668] Console: switching to colour frame buffer device 90x36
 1071 10:54:19.337031  <6>[   15.859615] meson-drm d0100000.vpu: [drm] fb0: mesondrmfb frame buffer device
 1072 10:54:19.343746  <6>[   15.863589] meson8b-dwmac c9410000.ethernet end0: renamed from eth0
 1073 10:54:19.897651  Begin: Loading essential drivers ... done.
 1074 10:54:19.902692  Begin: Running /scripts/init-premount ... done.
 1075 10:54:19.908286  Begin: Mounting root file system ... Begin: Running /scripts/nfs-top ... done.
 1076 10:54:19.921882  Begin: Running /scripts/nfs-premount ... Waiting up to 60 secs for any ethernet to become available
 1077 10:54:19.922124  Device /sys/class/net/end0 found
 1078 10:54:19.922295  done.
 1079 10:54:19.947653  Begin: Waiting up to 180 secs for any network device to become available ... done.
 1080 10:54:19.999684  IP-Config: end0 hardware address e2:70:f4:17:84:19 mtu 1500 DHCP
<6>[   16.522471] meson8b-dwmac c9410000.ethernet end0: Register MEM_TYPE_PAGE_POOL RxQ-0
 1081 10:54:20.000043  
 1082 10:54:20.062664  <6>[   16.588069] meson8b-dwmac c9410000.ethernet end0: PHY [mdio_mux-0.1:08] driver [Meson GXL Internal PHY] (irq=47)
 1083 10:54:20.076552  <6>[   16.603492] meson8b-dwmac c9410000.ethernet end0: No Safety Features support found
 1084 10:54:20.081889  <6>[   16.605729] meson8b-dwmac c9410000.ethernet end0: PTP not supported by HW
 1085 10:54:20.090077  <6>[   16.613231] meson8b-dwmac c9410000.ethernet end0: configuring for phy/rmii link mode
 1086 10:54:21.610108  IP-Config: no response after 2 secs - giving up
 1087 10:54:21.663525  IP-Config: end0 hardware address e2:70:f4:17:84:19 mtu 1500 DHCP
 1088 10:54:21.855861  <6>[   18.381894] meson8b-dwmac c9410000.ethernet end0: Link is Up - 100Mbps/Full - flow control off
 1089 10:54:25.518760  IP-Config: no response after 3 secs - giving up
 1090 10:54:25.559847  IP-Config: end0 hardware address e2:70:f4:17:84:19 mtu 1500 DHCP
 1091 10:54:25.622452  IP-Config: end0 complete (dhcp from 192.168.56.254):
 1092 10:54:25.633297   address: 192.168.56.38    broadcast: 192.168.56.255   netmask: 255.255.255.0   
 1093 10:54:25.636721   gateway: 192.168.56.254   dns0     : 192.168.56.254   dns1   : 0.0.0.0         
 1094 10:54:25.642388   domain : mayfield.sirena.org.uk                                          
 1095 10:54:25.649063   rootserver: 192.168.56.254 rootpath: 
 1096 10:54:25.649365   filename  : 
 1097 10:54:25.707520  done.
 1098 10:54:25.719666  Begin: Running /scripts/nfs-bottom ... done.
 1099 10:54:25.740080  Begin: Running /scripts/init-bottom ... done.
 1100 10:54:26.911012  <30>[   23.439035] systemd[1]: System time before build time, advancing clock.
 1101 10:54:27.077039  <6>[   23.609639] NET: Registered PF_INET6 protocol family
 1102 10:54:27.082570  <6>[   23.610878] Segment Routing with IPv6
 1103 10:54:27.086910  <6>[   23.613194] In-situ OAM (IOAM) with IPv6
 1104 10:54:27.271431  <30>[   23.773059] systemd[1]: systemd 252.22-1~deb12u1 running in system mode (+PAM +AUDIT +SELINUX +APPARMOR +IMA +SMACK +SECCOMP +GCRYPT -GNUTLS +OPENSSL +ACL +BLKID +CURL +ELFUTILS +FIDO2 +IDN2 -IDN +IPTC +KMOD +LIBCRYPTSETUP +LIBFDISK +PCRE2 -PWQUALITY +P11KIT +QRENCODE +TPM2 +BZIP2 +LZ4 +XZ +ZLIB +ZSTD -BPF_FRAMEWORK -XKBCOMMON +UTMP +SYSVINIT default-hierarchy=unified)
 1105 10:54:27.278851  <30>[   23.800427] systemd[1]: Detected architecture arm64.
 1106 10:54:27.279159  
 1107 10:54:27.281776  Welcome to [1mDebian GNU/Linux 12 (bookworm)[0m!
 1108 10:54:27.282020  
 1109 10:54:27.298992  <30>[   23.827830] systemd[1]: Hostname set to <debian-bookworm-arm64>.
 1110 10:54:28.546342  <30>[   25.074058] systemd[1]: Queued start job for default target graphical.target.
 1111 10:54:28.598646  <30>[   25.125796] systemd[1]: Created slice system-getty.slice - Slice /system/getty.
 1112 10:54:28.606231  [[0;32m  OK  [0m] Created slice [0;1;39msystem-getty.slice[0m - Slice /system/getty.
 1113 10:54:28.623939  <30>[   25.151016] systemd[1]: Created slice system-modprobe.slice - Slice /system/modprobe.
 1114 10:54:28.632237  [[0;32m  OK  [0m] Created slice [0;1;39msystem-modpr…lice[0m - Slice /system/modprobe.
 1115 10:54:28.644047  <30>[   25.171057] systemd[1]: Created slice system-serial\x2dgetty.slice - Slice /system/serial-getty.
 1116 10:54:28.657469  [[0;32m  OK  [0m] Created slice [0;1;39msystem-seria…[0m - Slice /system/serial-getty.
 1117 10:54:28.662955  <30>[   25.190667] systemd[1]: Created slice user.slice - User and Session Slice.
 1118 10:54:28.670159  [[0;32m  OK  [0m] Created slice [0;1;39muser.slice[0m - User and Session Slice.
 1119 10:54:28.691927  <30>[   25.213520] systemd[1]: Started systemd-ask-password-console.path - Dispatch Password Requests to Console Directory Watch.
 1120 10:54:28.695949  [[0;32m  OK  [0m] Started [0;1;39msystemd-ask-passwo…quests to Console Directory Watch.
 1121 10:54:28.714418  <30>[   25.241463] systemd[1]: Started systemd-ask-password-wall.path - Forward Password Requests to Wall Directory Watch.
 1122 10:54:28.726378  [[0;32m  OK  [0m] Started [0;1;39msystemd-ask-passwo… Requests to Wall Directory Watch.
 1123 10:54:28.743088  <30>[   25.261339] systemd[1]: proc-sys-fs-binfmt_misc.automount - Arbitrary Executable File Formats File System Automount Point was skipped because of an unmet condition check (ConditionPathExists=/proc/sys/fs/binfmt_misc).
 1124 10:54:28.754143  <30>[   25.275510] systemd[1]: Expecting device dev-ttyAML0.device - /dev/ttyAML0...
 1125 10:54:28.761836           Expecting device [0;1;39mdev-ttyAML0.device[0m - /dev/ttyAML0...
 1126 10:54:28.767227  <30>[   25.297148] systemd[1]: Reached target cryptsetup.target - Local Encrypted Volumes.
 1127 10:54:28.778235  [[0;32m  OK  [0m] Reached target [0;1;39mcryptsetup.…get[0m - Local Encrypted Volumes.
 1128 10:54:28.794098  <30>[   25.321202] systemd[1]: Reached target integritysetup.target - Local Integrity Protected Volumes.
 1129 10:54:28.807848  [[0;32m  OK  [0m] Reached target [0;1;39mintegrityse…Local Integrity Protected Volumes.
 1130 10:54:28.813396  <30>[   25.341240] systemd[1]: Reached target paths.target - Path Units.
 1131 10:54:28.821857  [[0;32m  OK  [0m] Reached target [0;1;39mpaths.target[0m - Path Units.
 1132 10:54:28.827471  <30>[   25.357206] systemd[1]: Reached target remote-fs.target - Remote File Systems.
 1133 10:54:28.839079  [[0;32m  OK  [0m] Reached target [0;1;39mremote-fs.target[0m - Remote File Systems.
 1134 10:54:28.844774  <30>[   25.373160] systemd[1]: Reached target slices.target - Slice Units.
 1135 10:54:28.852909  [[0;32m  OK  [0m] Reached target [0;1;39mslices.target[0m - Slice Units.
 1136 10:54:28.858364  <30>[   25.389201] systemd[1]: Reached target swap.target - Swaps.
 1137 10:54:28.865254  [[0;32m  OK  [0m] Reached target [0;1;39mswap.target[0m - Swaps.
 1138 10:54:28.878246  <30>[   25.405254] systemd[1]: Reached target veritysetup.target - Local Verity Protected Volumes.
 1139 10:54:28.887065  [[0;32m  OK  [0m] Reached target [0;1;39mveritysetup… - Local Verity Protected Volumes.
 1140 10:54:28.902627  <30>[   25.429613] systemd[1]: Listening on systemd-initctl.socket - initctl Compatibility Named Pipe.
 1141 10:54:28.910786  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-initc… initctl Compatibility Named Pipe.
 1142 10:54:28.925039  <30>[   25.451909] systemd[1]: Listening on systemd-journald-audit.socket - Journal Audit Socket.
 1143 10:54:28.938079  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-journ…socket[0m - Journal Audit Socket.
 1144 10:54:28.943582  <30>[   25.470735] systemd[1]: Listening on systemd-journald-dev-log.socket - Journal Socket (/dev/log).
 1145 10:54:28.956544  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-journ…t[0m - Journal Socket (/dev/log).
 1146 10:54:28.962006  <30>[   25.489974] systemd[1]: Listening on systemd-journald.socket - Journal Socket.
 1147 10:54:28.970179  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-journald.socket[0m - Journal Socket.
 1148 10:54:28.988164  <30>[   25.515044] systemd[1]: Listening on systemd-networkd.socket - Network Service Netlink Socket.
 1149 10:54:28.997153  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-netwo… - Network Service Netlink Socket.
 1150 10:54:29.009105  <30>[   25.536147] systemd[1]: Listening on systemd-udevd-control.socket - udev Control Socket.
 1151 10:54:29.014656  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-udevd….socket[0m - udev Control Socket.
 1152 10:54:29.026766  <30>[   25.553754] systemd[1]: Listening on systemd-udevd-kernel.socket - udev Kernel Socket.
 1153 10:54:29.035104  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-udevd…l.socket[0m - udev Kernel Socket.
 1154 10:54:29.086112  <30>[   25.613290] systemd[1]: Mounting dev-hugepages.mount - Huge Pages File System...
 1155 10:54:29.093027           Mounting [0;1;39mdev-hugepages.mount[0m - Huge Pages File System...
 1156 10:54:29.106790  <30>[   25.633907] systemd[1]: Mounting dev-mqueue.mount - POSIX Message Queue File System...
 1157 10:54:29.114282           Mounting [0;1;39mdev-mqueue.mount…POSIX Message Queue File System...
 1158 10:54:29.130987  <30>[   25.658141] systemd[1]: Mounting sys-kernel-debug.mount - Kernel Debug File System...
 1159 10:54:29.138368           Mounting [0;1;39msys-kernel-debug.…[0m - Kernel Debug File System...
 1160 10:54:29.157863  <30>[   25.678242] systemd[1]: sys-kernel-tracing.mount - Kernel Trace File System was skipped because of an unmet condition check (ConditionPathExists=/sys/kernel/tracing).
 1161 10:54:29.168652  <30>[   25.694248] systemd[1]: Starting kmod-static-nodes.service - Create List of Static Device Nodes...
 1162 10:54:29.175347           Starting [0;1;39mkmod-static-nodes…ate List of Static Device Nodes...
 1163 10:54:29.191534  <30>[   25.718415] systemd[1]: Starting modprobe@configfs.service - Load Kernel Module configfs...
 1164 10:54:29.198198           Starting [0;1;39mmodprobe@configfs…m - Load Kernel Module configfs...
 1165 10:54:29.214732  <30>[   25.741830] systemd[1]: Starting modprobe@dm_mod.service - Load Kernel Module dm_mod...
 1166 10:54:29.221410           Starting [0;1;39mmodprobe@dm_mod.s…[0m - Load Kernel Module dm_mod...
 1167 10:54:29.238688  <30>[   25.765863] systemd[1]: Starting modprobe@drm.service - Load Kernel Module drm...
 1168 10:54:29.245574           Starting [0;1;39mmodprobe@drm.service[0m - Load Kernel Module drm...
 1169 10:54:29.258453  <30>[   25.785494] systemd[1]: Starting modprobe@efi_pstore.service - Load Kernel Module efi_pstore...
 1170 10:54:29.274823           Starting [0;1;39mmodprobe@efi_psto…- Load Kernel Module<6>[   25.795774] device-mapper: ioctl: 4.48.0-ioctl (2023-03-01) initialised: dm-devel@lists.linux.dev
 1171 10:54:29.275104   efi_pstore...
 1172 10:54:29.290857  <30>[   25.817965] systemd[1]: Starting modprobe@fuse.service - Load Kernel Module fuse...
 1173 10:54:29.298183           Starting [0;1;39mmodprobe@fuse.ser…e[0m - Load Kernel Module fuse...
 1174 10:54:29.330557  <30>[   25.857671] systemd[1]: Starting modprobe@loop.service - Load Kernel Module loop...
 1175 10:54:29.336107           Starting [0;1;39mmodprobe@loop.ser…e[0m - Load Kernel Module loop...
 1176 10:54:29.340058  <6>[   25.870175] fuse: init (API version 7.41)
 1177 10:54:29.366396  <30>[   25.892931] systemd[1]: Starting systemd-journald.service - Journal Service...
 1178 10:54:29.371706           Starting [0;1;39msystemd-journald.service[0m - Journal Service...
 1179 10:54:29.397887  <30>[   25.925004] systemd[1]: Starting systemd-modules-load.service - Load Kernel Modules...
 1180 10:54:29.405496           Starting [0;1;39msystemd-modules-l…rvice[0m - Load Kernel Modules...
 1181 10:54:29.418155  <30>[   25.945290] systemd[1]: Starting systemd-network-generator.service - Generate network units from Kernel command line...
 1182 10:54:29.427481           Starting [0;1;39msystemd-network-g… units from Kernel command line...
 1183 10:54:29.444650  <30>[   25.971617] systemd[1]: Starting systemd-remount-fs.service - Remount Root and Kernel File Systems...
 1184 10:54:29.453380           Starting [0;1;39msystemd-remount-f…nt Root and Kernel File Systems...
 1185 10:54:29.468584  <30>[   25.995586] systemd[1]: Starting systemd-udev-trigger.service - Coldplug All udev Devices...
 1186 10:54:29.476549           Starting [0;1;39msystemd-udev-trig…[0m - Coldplug All udev Devices...
 1187 10:54:29.494884  <30>[   26.021880] systemd[1]: Mounted dev-hugepages.mount - Huge Pages File System.
 1188 10:54:29.502060  [[0;32m  OK  [0m] Mounted [0;1;39mdev-hugepages.mount[0m - Huge Pages File System.
 1189 10:54:29.519112  <30>[   26.046142] systemd[1]: Mounted dev-mqueue.mount - POSIX Message Queue File System.
 1190 10:54:29.527187  [[0;32m  OK  [0m] Mounted [0;1;39mdev-mqueue.mount[…- POSIX Message Queue File System.
 1191 10:54:29.538732  <30>[   26.065868] systemd[1]: Mounted sys-kernel-debug.mount - Kernel Debug File System.
 1192 10:54:29.546862  [[0;32m  OK  [0m] Mounted [0;1;39msys-kernel-debug.m…nt[0m - Kernel Debug File System.
 1193 10:54:29.563368  <30>[   26.090513] systemd[1]: Finished kmod-static-nodes.service - Create List of Static Device Nodes.
 1194 10:54:29.572813  [[0;32m  OK  [0m] Finished [0;1;39mkmod-static-nodes…reate List of Static Device Nodes.
 1195 10:54:29.589067  <30>[   26.116193] systemd[1]: modprobe@configfs.service: Deactivated successfully.
 1196 10:54:29.594717  <30>[   26.119159] systemd[1]: Finished modprobe@configfs.service - Load Kernel Module configfs.
 1197 10:54:29.605664  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@configfs…[0m - Load Kernel Module configfs.
 1198 10:54:29.616627  <30>[   26.141947] systemd[1]: Started systemd-journald.service - Journal Service.
 1199 10:54:29.621601  [[0;32m  OK  [0m] Started [0;1;39msystemd-journald.service[0m - Journal Service.
 1200 10:54:29.637197  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@dm_mod.s…e[0m - Load Kernel Module dm_mod.
 1201 10:54:29.649473  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@drm.service[0m - Load Kernel Module drm.
 1202 10:54:29.661342  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@efi_psto…m - Load Kernel Module efi_pstore.
 1203 10:54:29.672981  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@fuse.service[0m - Load Kernel Module fuse.
 1204 10:54:29.687842  [[0;32m  OK  [0m] Finished [0;1;39mmodprobe@loop.service[0m - Load Kernel Module loop.
 1205 10:54:29.693988  [[0;32m  OK  [0m] Finished [0;1;39msystemd-modules-l…service[0m - Load Kernel Modules.
 1206 10:54:29.707960  [[0;32m  OK  [0m] Finished [0;1;39msystemd-network-g…rk units from Kernel command line.
 1207 10:54:29.719701  [[0;32m  OK  [0m] Finished [0;1;39msystemd-remount-f…ount Root and Kernel File Systems.
 1208 10:54:29.732577  [[0;32m  OK  [0m] Reached target [0;1;39mnetwork-pre…get[0m - Preparation for Network.
 1209 10:54:29.789850           Mounting [0;1;39msys-fs-fuse-conne…[0m - FUSE Control File System...
 1210 10:54:29.801051           Mounting [0;1;39msys-kernel-config…ernel Configuration File System...
 1211 10:54:29.821977           Starting [0;1;39msystemd-journal-f…h Journal to Persistent Storage...
 1212 10:54:29.834154           Starting [0;1;39msystemd-random-se…ice[0m - Load/Save Random Seed...
 1213 10:54:29.898718           Starting [0;1;39msystemd-sysctl.se…ce[0m - Apply Kernel Variables...
 1214 10:54:29.906054  <46>[   26.429740] systemd-journald[196]: Received client request to flush runtime journal.
 1215 10:54:29.927218           Starting [0;1;39msystemd-sysusers.…rvice[0m - Create System Users...
 1216 10:54:29.955812  [[0;32m  OK  [0m] Mounted [0;1;39msys-fs-fuse-connec…nt[0m - FUSE Control File System.
 1217 10:54:29.967217  [[0;32m  OK  [0m] Mounted [0;1;39msys-kernel-config.… Kernel Configuration File System.
 1218 10:54:29.990930  [[0;32m  OK  [0m] Finished [0;1;39msystemd-random-se…rvice[0m - Load/Save Random Seed.
 1219 10:54:30.252293  [[0;32m  OK  [0m] Finished [0;1;39msystemd-udev-trig…e[0m - Coldplug All udev Devices.
 1220 10:54:30.717615  [[0;32m  OK  [0m] Finished [0;1;39msystemd-sysctl.service[0m - Apply Kernel Variables.
 1221 10:54:30.917696  [[0;32m  OK  [0m] Finished [0;1;39msystemd-sysusers.service[0m - Create System Users.
 1222 10:54:30.958064           Starting [0;1;39msystemd-tmpfiles-…ate Static Device Nodes in /dev...
 1223 10:54:31.397319  [[0;32m  OK  [0m] Finished [0;1;39msystemd-journal-f…ush Journal to Persistent Storage.
 1224 10:54:31.461575  [[0;32m  OK  [0m] Finished [0;1;39msystemd-tmpfiles-…reate Static Device Nodes in /dev.
 1225 10:54:31.476758  [[0;32m  OK  [0m] Reached target [0;1;39mlocal-fs-pr…reparation for Local File Systems.
 1226 10:54:31.491357  [[0;32m  OK  [0m] Reached target [0;1;39mlocal-fs.target[0m - Local File Systems.
 1227 10:54:31.570383           Starting [0;1;39msystemd-tmpfiles-… Volatile Files and Directories...
 1228 10:54:31.590345           Starting [0;1;39msystemd-udevd.ser…ger for Device Events and Files...
 1229 10:54:32.037564  [[0;32m  OK  [0m] Started [0;1;39msystemd-udevd.serv…nager for Device Events and Files.
 1230 10:54:32.049316  [[0;32m  OK  [0m] Finished [0;1;39msystemd-tmpfiles-…te Volatile Files and Directories.
 1231 10:54:32.115944           Starting [0;1;39msystemd-networkd.…ice[0m - Network Configuration...
 1232 10:54:32.214190           Starting [0;1;39msystemd-timesyncd… - Network Time Synchronization...
 1233 10:54:32.237664           Starting [0;1;39msystemd-update-ut…rd System Boot/Shutdown in UTMP...
 1234 10:54:32.351820  [[0;32m  OK  [0m] Found device [0;1;39mdev-ttyAML0.device[0m - /dev/ttyAML0.
 1235 10:54:32.400665  [[0;32m  OK  [0m] Finished [0;1;39msystemd-update-ut…cord System Boot/Shutdown in UTMP.
 1236 10:54:32.617637  <5>[   29.144594] cfg80211: Loading compiled-in X.509 certificates for regulatory database
 1237 10:54:32.690351  <5>[   29.217121] Loaded X.509 cert 'sforshee: 00b28ddf47aef9cea7'
 1238 10:54:32.695810  <5>[   29.218142] Loaded X.509 cert 'wens: 61c038651aabdcf94bd0ac7ff06c7248db18c600'
 1239 10:54:32.701309  <4>[   29.225829] platform regulatory.0: Direct firmware load for regulatory.db failed with error -2
 1240 10:54:32.709472  <6>[   29.233775] cfg80211: failed to load regulatory.db
 1241 10:54:32.783250  [[0;32m  OK  [0m] Started [0;1;39msystemd-timesyncd.…0m - Network Time Synchronization.
 1242 10:54:32.795312  [[0;32m  OK  [0m] Reached target [0;1;39msysinit.target[0m - System Initialization.
 1243 10:54:32.806893  [[0;32m  OK  [0m] Started [0;1;39msystemd-tmpfiles-c… Cleanup of Temporary Directories.
 1244 10:54:32.818034  [[0;32m  OK  [0m] Reached target [0;1;39mtime-set.target[0m - System Time Set.
 1245 10:54:32.882796  <46>[   29.400695] systemd-journald[196]: Oldest entry in /var/log/journal/44a983756b26438995e691b947c527e4/system.journal is older than the configured file retention duration (1month), suggesting rotation.
 1246 10:54:32.899555  <46>[   29.413185] systemd-journald[196]: /var/log/journal/44a983756b26438995e691b947c527e4/system.journal: Journal header limits reached or header out-of-date, rotating.
 1247 10:54:32.906450  [[0;32m  OK  [0m] Started [0;1;39mapt-daily.timer[0m - Daily apt download activities.
 1248 10:54:32.954568  [[0;32m  OK  [0m] Started [0;1;39mapt-daily-upgrade.… apt upgrade and clean activities.
 1249 10:54:32.961973  [[0;32m  OK  [0m] Started [0;1;39mdpkg-db-backup.tim… Daily dpkg database backup timer.
 1250 10:54:32.994579  [[0;32m  OK  [0m] Started [0;1;39me2scrub_all.timer…etadata Check for All Filesystems.
 1251 10:54:33.730164  [[0;32m  OK  [0m] Started [0;1;39mfstrim.timer[0m - Discard unused blocks once a week.
 1252 10:54:33.745270  [[0;32m  OK  [0m] Reached target [0;1;39mtimers.target[0m - Timer Units.
 1253 10:54:33.768061  [[0;32m  OK  [0m] Listening on [0;1;39mdbus.socket[…- D-Bus System Message Bus Socket.
 1254 10:54:33.783707  [[0;32m  OK  [0m] Reached target [0;1;39msockets.target[0m - Socket Units.
 1255 10:54:33.789691  [[0;32m  OK  [0m] Reached target [0;1;39mbasic.target[0m - Basic System.
 1256 10:54:34.133582           Starting [0;1;39mdbus.service[0m - D-Bus System Message Bus...
 1257 10:54:34.590458           Starting [0;1;39me2scrub_reap.serv…e ext4 Metadata Check Snapshots...
 1258 10:54:34.648614           Starting [0;1;39msystemd-logind.se…ice[0m - User Login Management...
 1259 10:54:34.654988  [[0;32m  OK  [0m] Started [0;1;39msystemd-networkd.service[0m<6>[   31.184885] raid6: neonx8   gen()  2649 MB/s
 1260 10:54:34.660507   - Network Configuration.
 1261 10:54:34.722800  <6>[   31.252927] raid6: neonx4   gen()  2604 MB/s
 1262 10:54:34.781690  [[0;32m  OK  [0m] Reached target [0;1;39mnetwork.target[0m - Network.
 1263 10:54:34.788697  <6>[   31.320921] raid6: neonx2   gen()  2479 MB/s
 1264 10:54:34.799147  [[0;32m  OK  [0m] Reached target [0;1;39musb-gadget.…m - Hardware activated USB gadget.
 1265 10:54:34.811516  [[0;32m  OK  [0m] Listening on [0;1;39msystemd-rfkil…l Switch Status /dev/rfkill Watch.
 1266 10:54:34.830176           Starting [0;1;39malsa-restore.serv…- Save/Restore Sound Card State...
 1267 10:54:34.851965           Starting [0;1;39msystemd-user-sess…vice[0m - Permit User Sessions...
 1268 10:54:34.857669  [[0;32m  OK  [0m] Started [0;1;39mdbus.service[0m - D-Bus System Message Bus.
 1269 10:54:34.863030  <6>[   31.388923] raid6: neonx1   gen()  1767 MB/s
 1270 10:54:34.926629  <6>[   31.456896] raid6: int64x8  gen()  1658 MB/s
 1271 10:54:34.996569           Starting [0;1;39msystemd-hostnamed.service[0m - Hostna<6>[   31.525693] raid6: int64x4  gen()  1834 MB/s
 1272 10:54:35.000272  me Service...
 1273 10:54:35.012599  [[0;32m  OK  [0m] Finished [0;1;39malsa-restore.serv…m - Save/Restore Sound Card State.
 1274 10:54:35.022776  [[0;32m  OK  [0m] Reached target [0;1;39msound.target[0m - Sound Card.
 1275 10:54:35.052384  [[0;32m  OK  [0m] Finished [0;1;39msystemd-user-sess…ervice[0m - Permit User Sessions.
 1276 10:54:35.064667  <6>[   31.596953] raid6: int64x2  gen()  1636 MB/s
 1277 10:54:35.073505  [[0;32m  OK  [0m] Started [0;1;39mgetty@tty1.service[0m - Getty on tty1.
 1278 10:54:35.099192  [[0;32m  OK  [0m] Started [0;1;39mserial-getty@ttyAM…ice[0m - Serial Getty on ttyAML0.
 1279 10:54:35.105915  [[0;32m  OK  [0m] Reached target [0;1;39mgetty.target[0m - Login Prompts.
 1280 10:54:35.132855  <6>[   31.664939] raid6: int64x1  gen()  1209 MB/s
 1281 10:54:35.140245  <6>[   31.664986] raid6: using algorithm neonx8 gen() 2649 MB/s
 1282 10:54:35.204896  <6>[   31.736875] raid6: .... xor() 1940 MB/s, rmw enabled
 1283 10:54:35.211750  <6>[   31.736917] raid6: using neon recovery algorithm
 1284 10:54:35.219788  [[0;32m  OK  [0m] Finished [0;1;39me2scrub_reap.serv…ine ext4 Metadata Check Snapshots.
 1285 10:54:35.239131  <6>[   31.771535] xor: measuring software checksum speed
 1286 10:54:35.244939  <6>[   31.772682]    8regs           :  2970 MB/sec
 1287 10:54:35.250196  <6>[   31.776719]    32regs          :  2970 MB/sec
 1288 10:54:35.255626  <6>[   31.781654]    arm64_neon      :  2600 MB/sec
 1289 10:54:35.261088  <6>[   31.784689] xor: using function: 32regs (2970 MB/sec)
 1290 10:54:35.268628  [[0;32m  OK  [0m] Started [0;1;39msystemd-logind.service[0m - User Login Management.
 1291 10:54:35.282280  [[0;32m  OK  [0m] Reached target [0;1;39mmulti-user.target[0m - Multi-User System.
 1292 10:54:35.299167  [[0;32m  OK  [0m] Reached target [0;1;39mgraphical.target[0m - Graphical Interface.
 1293 10:54:35.347346           Starting [0;1;39msystemd-update-ut… Record Runlevel Change in UTMP...
 1294 10:54:35.443485  <6>[   31.973482] Btrfs loaded, zoned=no, fsverity=no
 1295 10:54:35.540261  [[0;32m  OK  [0m] Finished [0;1;39msystemd-update-ut… - Record Runlevel Change in UTMP.
 1296 10:54:35.603315  [[0;32m  OK  [0m] Started [0;1;39msystemd-hostnamed.service[0m - Hostname Service.
 1297 10:54:35.710773  
 1298 10:54:35.711080  Debian GNU/Linux 12 debian-bookworm-arm64 ttyAML0
 1299 10:54:35.711242  
 1300 10:54:35.717915  debian-bookworm-arm64 login: root (automatic login)
 1301 10:54:35.718139  
 1302 10:54:36.057526  Linux debian-bookworm-arm64 6.11.0-rc6-next-20240906 #1 SMP PREEMPT Fri Sep  6 10:01:58 UTC 2024 aarch64
 1303 10:54:36.057871  
 1304 10:54:36.063101  The programs included with the Debian GNU/Linux system are free software;
 1305 10:54:36.068536  the exact distribution terms for each program are described in the
 1306 10:54:36.074040  individual files in /usr/share/doc/*/copyright.
 1307 10:54:36.074295  
 1308 10:54:36.079416  Debian GNU/Linux comes with ABSOLUTELY NO WARRANTY, to the extent
 1309 10:54:36.083877  permitted by applicable law.
 1310 10:54:37.477559  Matched prompt #10: / #
 1312 10:54:37.478243  Setting prompt string to ['/ #']
 1313 10:54:37.478486  end: 2.4.4.1 login-action (duration 00:00:34) [common]
 1315 10:54:37.479005  end: 2.4.4 auto-login-action (duration 00:00:34) [common]
 1316 10:54:37.479249  start: 2.4.5 expect-shell-connection (timeout 00:03:23) [common]
 1317 10:54:37.479433  Setting prompt string to ['/ #']
 1318 10:54:37.479596  Forcing a shell prompt, looking for ['/ #']
 1320 10:54:37.530066  / # 
 1321 10:54:37.530491  expect-shell-connection: Wait for prompt ['/ #'] (timeout 00:05:00)
 1322 10:54:37.530684  Waiting using forced prompt support (timeout 00:02:30)
 1323 10:54:37.537313  
 1324 10:54:37.537829  end: 2.4.5 expect-shell-connection (duration 00:00:00) [common]
 1325 10:54:37.538076  start: 2.4.6 export-device-env (timeout 00:03:23) [common]
 1327 10:54:37.639025  / # export NFS_ROOTFS='/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh'
 1328 10:54:37.642597  export NFS_ROOTFS='/var/lib/lava/dispatcher/tmp/715541/extract-nfsrootfs-csykadeh'
 1330 10:54:37.747041  / # export NFS_SERVER_IP='192.168.56.76'
 1331 10:54:37.754109  export NFS_SERVER_IP='192.168.56.76'
 1332 10:54:37.754676  end: 2.4.6 export-device-env (duration 00:00:00) [common]
 1333 10:54:37.754972  end: 2.4 uboot-commands (duration 00:01:37) [common]
 1334 10:54:37.755248  end: 2 uboot-action (duration 00:01:37) [common]
 1335 10:54:37.755515  start: 3 lava-test-retry (timeout 00:07:17) [common]
 1336 10:54:37.755783  start: 3.1 lava-test-shell (timeout 00:07:17) [common]
 1337 10:54:37.755995  Using namespace: common
 1339 10:54:37.856653  / # #
 1340 10:54:37.857099  lava-test-shell: Wait for prompt ['/ #'] (timeout 00:10:00)
 1341 10:54:37.863696  #
 1342 10:54:37.864195  Using /lava-715541
 1344 10:54:37.964832  / # export SHELL=/bin/bash
 1345 10:54:37.972156  export SHELL=/bin/bash
 1347 10:54:38.073064  / # . /lava-715541/environment
 1348 10:54:38.076551  . /lava-715541/environment
 1350 10:54:38.185518  / # /lava-715541/bin/lava-test-runner /lava-715541/0
 1351 10:54:38.185993  Test shell timeout: 10s (minimum of the action and connection timeout)
 1352 10:54:38.189321  /lava-715541/bin/lava-test-runner /lava-715541/0
 1353 10:54:38.558797  + export TESTRUN_ID=0_timesync-off
 1354 10:54:38.566531  + TESTRUN_ID=0_timesync-off
 1355 10:54:38.566847  + cd /lava-715541/0/tests/0_timesync-off
 1356 10:54:38.567019  ++ cat uuid
 1357 10:54:38.581756  + UUID=715541_1.6.2.4.1
 1358 10:54:38.582040  + set +x
 1359 10:54:38.590245  <LAVA_SIGNAL_STARTRUN 0_timesync-off 715541_1.6.2.4.1>
 1360 10:54:38.590482  + systemctl stop systemd-timesyncd
 1361 10:54:38.590876  Received signal: <STARTRUN> 0_timesync-off 715541_1.6.2.4.1
 1362 10:54:38.591051  Starting test lava.0_timesync-off (715541_1.6.2.4.1)
 1363 10:54:38.591262  Skipping test definition patterns.
 1364 10:54:38.679341  + set +x
 1365 10:54:38.679650  <LAVA_SIGNAL_ENDRUN 0_timesync-off 715541_1.6.2.4.1>
 1366 10:54:38.680043  Received signal: <ENDRUN> 0_timesync-off 715541_1.6.2.4.1
 1367 10:54:38.680262  Ending use of test pattern.
 1368 10:54:38.680420  Ending test lava.0_timesync-off (715541_1.6.2.4.1), duration 0.09
 1370 10:54:38.807993  + export TESTRUN_ID=1_kselftest-arm64
 1371 10:54:38.813387  + TESTRUN_ID=1_kselftest-arm64
 1372 10:54:38.813650  + cd /lava-715541/0/tests/1_kselftest-arm64
 1373 10:54:38.816586  ++ cat uuid
 1374 10:54:38.827628  + UUID=715541_1.6.2.4.5
 1375 10:54:38.827883  + set +x
 1376 10:54:38.832665  <LAVA_SIGNAL_STARTRUN 1_kselftest-arm64 715541_1.6.2.4.5>
 1377 10:54:38.833119  Received signal: <STARTRUN> 1_kselftest-arm64 715541_1.6.2.4.5
 1378 10:54:38.833306  Starting test lava.1_kselftest-arm64 (715541_1.6.2.4.5)
 1379 10:54:38.833517  Skipping test definition patterns.
 1380 10:54:38.838282  + cd ./automated/linux/kselftest/
 1381 10:54:38.859500  + ./kselftest.sh -c arm64 -T '' -t kselftest_armhf.tar.gz -s True -u http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/kselftest.tar.xz -L '' -S /dev/null -b meson-gxl-s905x-libretech-cc -g next -e '' -p /opt/kselftests/mainline/ -n 1 -i 1 -E ''
 1382 10:54:38.942607  INFO: install_deps skipped
 1383 10:54:39.416887  --2024-09-06 10:54:39--  http://storage.kernelci.org/next/master/next-20240906/arm64/defconfig/gcc-12/kselftest.tar.xz
 1384 10:54:39.454173  Resolving storage.kernelci.org (storage.kernelci.org)... 20.171.243.82
 1385 10:54:39.599265  Connecting to storage.kernelci.org (storage.kernelci.org)|20.171.243.82|:80... connected.
 1386 10:54:39.742000  HTTP request sent, awaiting response... 200 OK
 1387 10:54:39.742304  Length: 4986680 (4.8M) [application/octet-stream]
 1388 10:54:39.747595  Saving to: 'kselftest_armhf.tar.gz'
 1389 10:54:39.747899  
 1390 10:54:41.528305  
kselftest_armhf.tar   0%[                    ]       0  --.-KB/s               
kselftest_armhf.tar   0%[                    ]  46.14K   162KB/s               
kselftest_armhf.tar   4%[                    ] 214.89K   377KB/s               
kselftest_armhf.tar  16%[==>                 ] 799.89K   887KB/s               
kselftest_armhf.tar  39%[======>             ]   1.88M  1.65MB/s               
kselftest_armhf.tar  61%[===========>        ]   2.92M  2.18MB/s               
kselftest_armhf.tar  75%[==============>     ]   3.59M  2.28MB/s               
kselftest_armhf.tar  98%[==================> ]   4.70M  2.65MB/s               
kselftest_armhf.tar 100%[===================>]   4.75M  2.67MB/s    in 1.8s    
 1391 10:54:41.528654  
 1392 10:54:41.975495  2024-09-06 10:54:41 (2.67 MB/s) - 'kselftest_armhf.tar.gz' saved [4986680/4986680]
 1393 10:54:41.975796  
 1394 10:56:12.457103  skiplist:
 1395 10:56:12.457407  ========================================
 1396 10:56:12.462743  ========================================
 1397 10:56:12.600652  arm64:tags_test
 1398 10:56:12.600959  arm64:fake_sigreturn_bad_magic
 1399 10:56:12.606110  arm64:fake_sigreturn_bad_size
 1400 10:56:12.606348  arm64:fake_sigreturn_bad_size_for_magic0
 1401 10:56:12.611953  arm64:fake_sigreturn_duplicated_fpsimd
 1402 10:56:12.617157  arm64:fake_sigreturn_misaligned_sp
 1403 10:56:12.617466  arm64:fake_sigreturn_missing_fpsimd
 1404 10:56:12.622640  arm64:fake_sigreturn_sme_change_vl
 1405 10:56:12.622866  arm64:fake_sigreturn_sve_change_vl
 1406 10:56:12.628140  arm64:fpmr_siginfo
 1407 10:56:12.628367  arm64:mangle_pstate_invalid_compat_toggle
 1408 10:56:12.633731  arm64:mangle_pstate_invalid_daif_bits
 1409 10:56:12.633991  arm64:mangle_pstate_invalid_mode_el1h
 1410 10:56:12.639462  arm64:mangle_pstate_invalid_mode_el1t
 1411 10:56:12.644998  arm64:mangle_pstate_invalid_mode_el2h
 1412 10:56:12.645299  arm64:mangle_pstate_invalid_mode_el2t
 1413 10:56:12.650543  arm64:mangle_pstate_invalid_mode_el3h
 1414 10:56:12.655688  arm64:mangle_pstate_invalid_mode_el3t
 1415 10:56:12.655973  arm64:poe_siginfo
 1416 10:56:12.656145  arm64:sme_trap_no_sm
 1417 10:56:12.661298  arm64:sme_trap_non_streaming
 1418 10:56:12.661609  arm64:sme_trap_za
 1419 10:56:12.661781  arm64:sme_vl
 1420 10:56:12.661969  arm64:ssve_regs
 1421 10:56:12.666764  arm64:ssve_za_regs
 1422 10:56:12.666995  arm64:sve_regs
 1423 10:56:12.667162  arm64:sve_vl
 1424 10:56:12.672474  arm64:tpidr2_restore
 1425 10:56:12.672781  arm64:tpidr2_siginfo
 1426 10:56:12.672948  arm64:za_no_regs
 1427 10:56:12.673096  arm64:za_regs
 1428 10:56:12.677887  arm64:zt_no_regs
 1429 10:56:12.678092  arm64:zt_regs
 1430 10:56:12.678246  arm64:pac
 1431 10:56:12.678396  arm64:fp-ptrace
 1432 10:56:12.683498  arm64:fp-stress
 1433 10:56:12.683810  arm64:sve-ptrace
 1434 10:56:12.683981  arm64:sve-probe-vls
 1435 10:56:12.688821  arm64:vec-syscfg
 1436 10:56:12.689031  arm64:za-fork
 1437 10:56:12.689184  arm64:za-ptrace
 1438 10:56:12.689343  arm64:check_buffer_fill
 1439 10:56:12.694368  arm64:check_child_memory
 1440 10:56:12.694581  arm64:check_gcr_el1_cswitch
 1441 10:56:12.699893  arm64:check_ksm_options
 1442 10:56:12.700088  arm64:check_mmap_options
 1443 10:56:12.700236  arm64:check_prctl
 1444 10:56:12.705590  arm64:check_tags_inclusion
 1445 10:56:12.705852  arm64:check_user_mem
 1446 10:56:12.706049  arm64:btitest
 1447 10:56:12.710924  arm64:nobtitest
 1448 10:56:12.711131  arm64:hwcap
 1449 10:56:12.711291  arm64:ptrace
 1450 10:56:12.711443  arm64:syscall-abi
 1451 10:56:12.716459  arm64:tpidr2
 1452 10:56:12.716669  ============== Tests to run ===============
 1453 10:56:12.722162  arm64:tags_test
 1454 10:56:12.722397  arm64:fake_sigreturn_bad_magic
 1455 10:56:12.727484  arm64:fake_sigreturn_bad_size
 1456 10:56:12.727720  arm64:fake_sigreturn_bad_size_for_magic0
 1457 10:56:12.733094  arm64:fake_sigreturn_duplicated_fpsimd
 1458 10:56:12.733324  arm64:fake_sigreturn_misaligned_sp
 1459 10:56:12.738530  arm64:fake_sigreturn_missing_fpsimd
 1460 10:56:12.744232  arm64:fake_sigreturn_sme_change_vl
 1461 10:56:12.744537  arm64:fake_sigreturn_sve_change_vl
 1462 10:56:12.744697  arm64:fpmr_siginfo
 1463 10:56:12.749675  arm64:mangle_pstate_invalid_compat_toggle
 1464 10:56:12.755477  arm64:mangle_pstate_invalid_daif_bits
 1465 10:56:12.755782  arm64:mangle_pstate_invalid_mode_el1h
 1466 10:56:12.760705  arm64:mangle_pstate_invalid_mode_el1t
 1467 10:56:12.766221  arm64:mangle_pstate_invalid_mode_el2h
 1468 10:56:12.766458  arm64:mangle_pstate_invalid_mode_el2t
 1469 10:56:12.771767  arm64:mangle_pstate_invalid_mode_el3h
 1470 10:56:12.772089  arm64:mangle_pstate_invalid_mode_el3t
 1471 10:56:12.777296  arm64:poe_siginfo
 1472 10:56:12.777519  arm64:sme_trap_no_sm
 1473 10:56:12.777688  arm64:sme_trap_non_streaming
 1474 10:56:12.783145  arm64:sme_trap_za
 1475 10:56:12.783448  arm64:sme_vl
 1476 10:56:12.783611  arm64:ssve_regs
 1477 10:56:12.788530  arm64:ssve_za_regs
 1478 10:56:12.788857  arm64:sve_regs
 1479 10:56:12.789033  arm64:sve_vl
 1480 10:56:12.789191  arm64:tpidr2_restore
 1481 10:56:12.793765  arm64:tpidr2_siginfo
 1482 10:56:12.794003  arm64:za_no_regs
 1483 10:56:12.794166  arm64:za_regs
 1484 10:56:12.794322  arm64:zt_no_regs
 1485 10:56:12.799284  arm64:zt_regs
 1486 10:56:12.799481  arm64:pac
 1487 10:56:12.799638  arm64:fp-ptrace
 1488 10:56:12.799797  arm64:fp-stress
 1489 10:56:12.804747  arm64:sve-ptrace
 1490 10:56:12.804940  arm64:sve-probe-vls
 1491 10:56:12.805092  arm64:vec-syscfg
 1492 10:56:12.810669  arm64:za-fork
 1493 10:56:12.810978  arm64:za-ptrace
 1494 10:56:12.811156  arm64:check_buffer_fill
 1495 10:56:12.815888  arm64:check_child_memory
 1496 10:56:12.816115  arm64:check_gcr_el1_cswitch
 1497 10:56:12.816289  arm64:check_ksm_options
 1498 10:56:12.821312  arm64:check_mmap_options
 1499 10:56:12.821516  arm64:check_prctl
 1500 10:56:12.827077  arm64:check_tags_inclusion
 1501 10:56:12.827379  arm64:check_user_mem
 1502 10:56:12.827541  arm64:btitest
 1503 10:56:12.827687  arm64:nobtitest
 1504 10:56:12.832516  arm64:hwcap
 1505 10:56:12.832831  arm64:ptrace
 1506 10:56:12.833001  arm64:syscall-abi
 1507 10:56:12.833155  arm64:tpidr2
 1508 10:56:12.840705  ===========End Tests to run ===============
 1509 10:56:12.840966  shardfile-arm64 pass
 1510 10:56:13.450050  <12>[  129.978728] kselftest: Running tests in arm64
 1511 10:56:13.460265  TAP version 13
 1512 10:56:13.478227  1..55
 1513 10:56:13.508113  # timeout set to 45
 1514 10:56:13.508418  # selftests: arm64: tags_test
 1515 10:56:14.047872  # TAP version 13
 1516 10:56:14.048181  # 1..1
 1517 10:56:14.053292  # ok 1 Syscall successful with tagged address
 1518 10:56:14.059746  # # Totals: pass:1 fail:0 xfail:0 xpass:0 skip:0 error:0
 1519 10:56:14.060058  ok 1 selftests: arm64: tags_test
 1520 10:56:14.080003  # timeout set to 45
 1521 10:56:14.082386  # selftests: arm64: fake_sigreturn_bad_magic
 1522 10:56:14.189512  # # FAKE_SIGRETURN_BAD_MAGIC :: Trigger a sigreturn with a sigframe with a bad magic
 1523 10:56:14.189873  # Registered handlers for all signals.
 1524 10:56:14.195275  # Detected MINSTKSIGSZ:4720
 1525 10:56:14.195580  # Testcase initialized.
 1526 10:56:14.198833  # uc context validated.
 1527 10:56:14.204062  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1528 10:56:14.204364  # Handled SIG_COPYCTX
 1529 10:56:14.209677  # Available space:3568
 1530 10:56:14.209956  # Using badly built context - ERR: BAD MAGIC !
 1531 10:56:14.220691  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFE6F0D0D0
 1532 10:56:14.226175  # SIG_OK -- SP:0xFFFFE6F0E330  si_addr@:0xffffe6f0e330  si_code:2  token@:0xffffe6f0d0d0  offset:-4704
 1533 10:56:14.231714  # ==>> completed. PASS(1)
 1534 10:56:14.231937  ok 2 selftests: arm64: fake_sigreturn_bad_magic
 1535 10:56:14.239725  # timeout set to 45
 1536 10:56:14.239957  # selftests: arm64: fake_sigreturn_bad_size
 1537 10:56:14.323080  # # FAKE_SIGRETURN_BAD_SIZE :: Triggers a sigreturn with a overrun __reserved area
 1538 10:56:14.323391  # Registered handlers for all signals.
 1539 10:56:14.328328  # Detected MINSTKSIGSZ:4720
 1540 10:56:14.328563  # Testcase initialized.
 1541 10:56:14.333952  # uc context validated.
 1542 10:56:14.339563  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1543 10:56:14.339869  # Handled SIG_COPYCTX
 1544 10:56:14.340030  # Available space:3568
 1545 10:56:14.344911  # uc context validated.
 1546 10:56:14.350769  # Using badly built context - ERR: Bad size for esr_context
 1547 10:56:14.355990  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFCCD7E530
 1548 10:56:14.361451  # SIG_OK -- SP:0xFFFFCCD7F790  si_addr@:0xffffccd7f790  si_code:2  token@:0xffffccd7e530  offset:-4704
 1549 10:56:14.366845  # ==>> completed. PASS(1)
 1550 10:56:14.372372  ok 3 selftests: arm64: fake_sigreturn_bad_size
 1551 10:56:14.372608  # timeout set to 45
 1552 10:56:14.377110  # selftests: arm64: fake_sigreturn_bad_size_for_magic0
 1553 10:56:14.461026  # # FAKE_SIGRETURN_BAD_SIZE_FOR_TERMINATOR :: Trigger a sigreturn using non-zero size terminator
 1554 10:56:14.467053  # Registered handlers for all signals.
 1555 10:56:14.467363  # Detected MINSTKSIGSZ:4720
 1556 10:56:14.467526  # Testcase initialized.
 1557 10:56:14.470138  # uc context validated.
 1558 10:56:14.475941  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1559 10:56:14.481281  # Handled SIG_COPYCTX
 1560 10:56:14.481586  # Available space:3568
 1561 10:56:14.487111  # Using badly built context - ERR: Bad size for terminator
 1562 10:56:14.492356  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFE70ED090
 1563 10:56:14.503348  # SIG_OK -- SP:0xFFFFE70EE2F0  si_addr@:0xffffe70ee2f0  si_code:2  token@:0xffffe70ed090  offset:-4704
 1564 10:56:14.503650  # ==>> completed. PASS(1)
 1565 10:56:14.508769  ok 4 selftests: arm64: fake_sigreturn_bad_size_for_magic0
 1566 10:56:14.508978  # timeout set to 45
 1567 10:56:14.515128  # selftests: arm64: fake_sigreturn_duplicated_fpsimd
 1568 10:56:14.599829  # # FAKE_SIGRETURN_DUPLICATED_FPSIMD :: Triggers a sigreturn including two fpsimd_context
 1569 10:56:14.605115  # Registered handlers for all signals.
 1570 10:56:14.605436  # Detected MINSTKSIGSZ:4720
 1571 10:56:14.605602  # Testcase initialized.
 1572 10:56:14.608543  # uc context validated.
 1573 10:56:14.614068  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1574 10:56:14.614290  # Handled SIG_COPYCTX
 1575 10:56:14.619582  # Available space:3568
 1576 10:56:14.625221  # Using badly built context - ERR: Multiple FPSIMD_MAGIC
 1577 10:56:14.630872  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFDFCD40B0
 1578 10:56:14.636104  # SIG_OK -- SP:0xFFFFDFCD5310  si_addr@:0xffffdfcd5310  si_code:2  token@:0xffffdfcd40b0  offset:-4704
 1579 10:56:14.641635  # ==>> completed. PASS(1)
 1580 10:56:14.647032  ok 5 selftests: arm64: fake_sigreturn_duplicated_fpsimd
 1581 10:56:14.647274  # timeout set to 45
 1582 10:56:14.652230  # selftests: arm64: fake_sigreturn_misaligned_sp
 1583 10:56:14.741485  # # FAKE_SIGRETURN_MISALIGNED_SP :: Triggers a sigreturn with a misaligned sigframe
 1584 10:56:14.741837  # Registered handlers for all signals.
 1585 10:56:14.747142  # Detected MINSTKSIGSZ:4720
 1586 10:56:14.747446  # Testcase initialized.
 1587 10:56:14.750638  # uc context validated.
 1588 10:56:14.756090  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1589 10:56:14.756462  # Handled SIG_COPYCTX
 1590 10:56:14.761404  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFDE626F03
 1591 10:56:14.772616  # SIG_OK -- SP:0xFFFFDE626F03  si_addr@:0xffffde626f03  si_code:2  token@:0xffffde626f03  offset:0
 1592 10:56:14.772937  # ==>> completed. PASS(1)
 1593 10:56:14.778212  ok 6 selftests: arm64: fake_sigreturn_misaligned_sp
 1594 10:56:14.785923  # timeout set to 45
 1595 10:56:14.786239  # selftests: arm64: fake_sigreturn_missing_fpsimd
 1596 10:56:14.891184  # # FAKE_SIGRETURN_MISSING_FPSIMD :: Triggers a sigreturn with a missing fpsimd_context
 1597 10:56:14.896110  # Registered handlers for all signals.
 1598 10:56:14.896431  # Detected MINSTKSIGSZ:4720
 1599 10:56:14.896615  # Testcase initialized.
 1600 10:56:14.901483  # uc context validated.
 1601 10:56:14.907433  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1602 10:56:14.907748  # Handled SIG_COPYCTX
 1603 10:56:14.912678  # Mangling template header. Spare space:4096
 1604 10:56:14.918639  # Using badly built context - ERR: Missing FPSIMD
 1605 10:56:14.923774  # Calling sigreturn with fake sigframe sized:4688 at SP @FFFFE9A6B200
 1606 10:56:14.929183  # SIG_OK -- SP:0xFFFFE9A6C460  si_addr@:0xffffe9a6c460  si_code:2  token@:0xffffe9a6b200  offset:-4704
 1607 10:56:14.934769  # ==>> completed. PASS(1)
 1608 10:56:14.940311  ok 7 selftests: arm64: fake_sigreturn_missing_fpsimd
 1609 10:56:14.940531  # timeout set to 45
 1610 10:56:14.944159  # selftests: arm64: fake_sigreturn_sme_change_vl
 1611 10:56:15.042849  # # FAKE_SIGRETURN_SSVE_CHANGE :: Attempt to change Streaming SVE VL
 1612 10:56:15.043157  # Registered handlers for all signals.
 1613 10:56:15.048099  # Detected MINSTKSIGSZ:4720
 1614 10:56:15.048416  # ==>> completed. SKIP.
 1615 10:56:15.051881  ok 8 selftests: arm64: fake_sigreturn_sme_change_vl # SKIP
 1616 10:56:15.069036  # timeout set to 45
 1617 10:56:15.072636  # selftests: arm64: fake_sigreturn_sve_change_vl
 1618 10:56:15.180338  # # FAKE_SIGRETURN_SVE_CHANGE :: Attempt to change SVE VL
 1619 10:56:15.185517  # Registered handlers for all signals.
 1620 10:56:15.185844  # Detected MINSTKSIGSZ:4720
 1621 10:56:15.191239  # ==>> completed. SKIP.
 1622 10:56:15.195200  ok 9 selftests: arm64: fake_sigreturn_sve_change_vl # SKIP
 1623 10:56:15.215492  # timeout set to 45
 1624 10:56:15.215797  # selftests: arm64: fpmr_siginfo
 1625 10:56:15.324832  # # FPMR :: Validate that FPMR is present as expected
 1626 10:56:15.329927  # Registered handlers for all signals.
 1627 10:56:15.330315  # Detected MINSTKSIGSZ:4720
 1628 10:56:15.335845  # Testcase initialized.
 1629 10:56:15.336150  # uc context validated.
 1630 10:56:15.340833  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1631 10:56:15.341079  # Handled SIG_COPYCTX
 1632 10:56:15.346618  # FPMR sigframe absent on system without FPMR
 1633 10:56:15.354913  # ==>> completed. PASS(1)
 1634 10:56:15.355236  ok 10 selftests: arm64: fpmr_siginfo
 1635 10:56:15.363561  # timeout set to 45
 1636 10:56:15.363866  # selftests: arm64: mangle_pstate_invalid_compat_toggle
 1637 10:56:15.479834  # # MANGLE_PSTATE_INVALID_STATE_TOGGLE :: Mangling uc_mcontext with INVALID STATE_TOGGLE
 1638 10:56:15.485014  # Registered handlers for all signals.
 1639 10:56:15.485319  # Detected MINSTKSIGSZ:4720
 1640 10:56:15.485482  # Testcase initialized.
 1641 10:56:15.490926  # uc context validated.
 1642 10:56:15.491232  # Handled SIG_TRIG
 1643 10:56:15.501949  # SIG_OK -- SP:0xFFFFF7B2D9D0  si_addr@:0xfffff7b2d9d0  si_code:2  token@:(nil)  offset:-281474837436880
 1644 10:56:15.502350  # ==>> completed. PASS(1)
 1645 10:56:15.507070  ok 11 selftests: arm64: mangle_pstate_invalid_compat_toggle
 1646 10:56:15.507375  # timeout set to 45
 1647 10:56:15.514754  # selftests: arm64: mangle_pstate_invalid_daif_bits
 1648 10:56:15.625698  # # MANGLE_PSTATE_INVALID_DAIF_BITS :: Mangling uc_mcontext with INVALID DAIF_BITS
 1649 10:56:15.626058  # Registered handlers for all signals.
 1650 10:56:15.631271  # Detected MINSTKSIGSZ:4720
 1651 10:56:15.631579  # Testcase initialized.
 1652 10:56:15.637034  # uc context validated.
 1653 10:56:15.637342  # Handled SIG_TRIG
 1654 10:56:15.647783  # SIG_OK -- SP:0xFFFFD887C410  si_addr@:0xffffd887c410  si_code:2  token@:(nil)  offset:-281474314519568
 1655 10:56:15.648096  # ==>> completed. PASS(1)
 1656 10:56:15.653219  ok 12 selftests: arm64: mangle_pstate_invalid_daif_bits
 1657 10:56:15.653464  # timeout set to 45
 1658 10:56:15.659772  # selftests: arm64: mangle_pstate_invalid_mode_el1h
 1659 10:56:15.770186  # # MANGLE_PSTATE_INVALID_MODE_EL1h :: Mangling uc_mcontext INVALID MODE EL1h
 1660 10:56:15.770499  # Registered handlers for all signals.
 1661 10:56:15.775681  # Detected MINSTKSIGSZ:4720
 1662 10:56:15.775986  # Testcase initialized.
 1663 10:56:15.781489  # uc context validated.
 1664 10:56:15.781830  # Handled SIG_TRIG
 1665 10:56:15.792132  # SIG_OK -- SP:0xFFFFFA6C19A0  si_addr@:0xfffffa6c19a0  si_code:2  token@:(nil)  offset:-281474883131808
 1666 10:56:15.792431  # ==>> completed. PASS(1)
 1667 10:56:15.797594  ok 13 selftests: arm64: mangle_pstate_invalid_mode_el1h
 1668 10:56:15.797813  # timeout set to 45
 1669 10:56:15.803346  # selftests: arm64: mangle_pstate_invalid_mode_el1t
 1670 10:56:15.905042  # # MANGLE_PSTATE_INVALID_MODE_EL1t :: Mangling uc_mcontext INVALID MODE EL1t
 1671 10:56:15.905348  # Registered handlers for all signals.
 1672 10:56:15.910196  # Detected MINSTKSIGSZ:4720
 1673 10:56:15.910499  # Testcase initialized.
 1674 10:56:15.913655  # uc context validated.
 1675 10:56:15.913904  # Handled SIG_TRIG
 1676 10:56:15.924789  # SIG_OK -- SP:0xFFFFFD920AE0  si_addr@:0xfffffd920ae0  si_code:2  token@:(nil)  offset:-281474935950048
 1677 10:56:15.925095  # ==>> completed. PASS(1)
 1678 10:56:15.930618  ok 14 selftests: arm64: mangle_pstate_invalid_mode_el1t
 1679 10:56:15.937872  # timeout set to 45
 1680 10:56:15.938264  # selftests: arm64: mangle_pstate_invalid_mode_el2h
 1681 10:56:16.049677  # # MANGLE_PSTATE_INVALID_MODE_EL2h :: Mangling uc_mcontext INVALID MODE EL2h
 1682 10:56:16.050022  # Registered handlers for all signals.
 1683 10:56:16.055265  # Detected MINSTKSIGSZ:4720
 1684 10:56:16.055571  # Testcase initialized.
 1685 10:56:16.059121  # uc context validated.
 1686 10:56:16.059429  # Handled SIG_TRIG
 1687 10:56:16.069704  # SIG_OK -- SP:0xFFFFD9ABB4D0  si_addr@:0xffffd9abb4d0  si_code:2  token@:(nil)  offset:-281474333652176
 1688 10:56:16.070043  # ==>> completed. PASS(1)
 1689 10:56:16.075302  ok 15 selftests: arm64: mangle_pstate_invalid_mode_el2h
 1690 10:56:16.083248  # timeout set to 45
 1691 10:56:16.083552  # selftests: arm64: mangle_pstate_invalid_mode_el2t
 1692 10:56:16.183438  # # MANGLE_PSTATE_INVALID_MODE_EL2t :: Mangling uc_mcontext INVALID MODE EL2t
 1693 10:56:16.183741  # Registered handlers for all signals.
 1694 10:56:16.189191  # Detected MINSTKSIGSZ:4720
 1695 10:56:16.189496  # Testcase initialized.
 1696 10:56:16.194564  # uc context validated.
 1697 10:56:16.194950  # Handled SIG_TRIG
 1698 10:56:16.205610  # SIG_OK -- SP:0xFFFFF25C54F0  si_addr@:0xfffff25c54f0  si_code:2  token@:(nil)  offset:-281474747880688
 1699 10:56:16.206019  # ==>> completed. PASS(1)
 1700 10:56:16.211209  ok 16 selftests: arm64: mangle_pstate_invalid_mode_el2t
 1701 10:56:16.211515  # timeout set to 45
 1702 10:56:16.216557  # selftests: arm64: mangle_pstate_invalid_mode_el3h
 1703 10:56:16.547438  # # MANGLE_PSTATE_INVALID_MODE_EL3h :: Mangling uc_mcontext INVALID MODE EL3h
 1704 10:56:16.547760  # Registered handlers for all signals.
 1705 10:56:16.548168  # Detected MINSTKSIGSZ:4720
 1706 10:56:16.548299  # Testcase initialized.
 1707 10:56:16.548453  # uc context validated.
 1708 10:56:16.548574  # Handled SIG_TRIG
 1709 10:56:16.548685  # SIG_OK -- SP:0xFFFFEF7D4BA0  si_addr@:0xffffef7d4ba0  si_code:2  token@:(nil)  offset:-281474699709344
 1710 10:56:16.548794  # ==>> completed. PASS(1)
 1711 10:56:16.548904  ok 17 selftests: arm64: mangle_pstate_invalid_mode_el3h
 1712 10:56:16.549012  # timeout set to 45
 1713 10:56:16.549120  # selftests: arm64: mangle_pstate_invalid_mode_el3t
 1714 10:56:16.549228  # # MANGLE_PSTATE_INVALID_MODE_EL3t :: Mangling uc_mcontext INVALID MODE EL3t
 1715 10:56:16.549337  # Registered handlers for all signals.
 1716 10:56:16.549444  # Detected MINSTKSIGSZ:4720
 1717 10:56:16.549552  # Testcase initialized.
 1718 10:56:16.549659  # uc context validated.
 1719 10:56:16.549766  # Handled SIG_TRIG
 1720 10:56:16.549912  # SIG_OK -- SP:0xFFFFF9E7E6F0  si_addr@:0xfffff9e7e6f0  si_code:2  token@:(nil)  offset:-281474874468080
 1721 10:56:16.550051  # ==>> completed. PASS(1)
 1722 10:56:16.550162  ok 18 selftests: arm64: mangle_pstate_invalid_mode_el3t
 1723 10:56:16.550312  # timeout set to 45
 1724 10:56:16.550427  # selftests: arm64: poe_siginfo
 1725 10:56:16.603336  # # POR_EL0 :: Validate that POR_EL0 is present as expected
 1726 10:56:16.608886  # Registered handlers for all signals.
 1727 10:56:16.614403  # Detected MINSTKSIGSZ:4720
 1728 10:56:16.614646  # Testcase initialized.
 1729 10:56:16.614794  # uc context validated.
 1730 10:56:16.619887  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1731 10:56:16.625385  # Handled SIG_COPYCTX
 1732 10:56:16.625649  # POR_EL0 sigframe absent on system without POE
 1733 10:56:16.630978  # ==>> completed. PASS(1)
 1734 10:56:16.631235  ok 19 selftests: arm64: poe_siginfo
 1735 10:56:16.638273  # timeout set to 45
 1736 10:56:16.638528  # selftests: arm64: sme_trap_no_sm
 1737 10:56:16.744422  # # SME trap without SM :: Check that we get a SIGILL if we use streaming mode without enabling it
 1738 10:56:16.749932  # Registered handlers for all signals.
 1739 10:56:16.750185  # Detected MINSTKSIGSZ:4720
 1740 10:56:16.757184  # ==>> completed. SKIP.
 1741 10:56:16.757447  ok 20 selftests: arm64: sme_trap_no_sm # SKIP
 1742 10:56:16.770004  # timeout set to 45
 1743 10:56:16.773302  # selftests: arm64: sme_trap_non_streaming
 1744 10:56:16.875835  # # SME SM trap unsupported instruction :: Check that we get a SIGILL if we use an unsupported instruction in streaming mode
 1745 10:56:16.881510  # Registered handlers for all signals.
 1746 10:56:16.886848  # Detected MINSTKSIGSZ:4720
 1747 10:56:16.887124  # ==>> completed. SKIP.
 1748 10:56:16.891615  ok 21 selftests: arm64: sme_trap_non_streaming # SKIP
 1749 10:56:16.903512  # timeout set to 45
 1750 10:56:16.903772  # selftests: arm64: sme_trap_za
 1751 10:56:17.004718  # # SME ZA trap :: Check that we get a SIGILL if we access ZA without enabling
 1752 10:56:17.004972  # Registered handlers for all signals.
 1753 10:56:17.010210  # Detected MINSTKSIGSZ:4720
 1754 10:56:17.010472  # Testcase initialized.
 1755 10:56:17.021273  # SIG_OK -- SP:0xFFFFCCC32FA0  si_addr@:0xaaaace6d0fe0  si_code:1  token@:(nil)  offset:-187650584416224
 1756 10:56:17.021541  # ==>> completed. PASS(1)
 1757 10:56:17.026808  ok 22 selftests: arm64: sme_trap_za
 1758 10:56:17.027062  # timeout set to 45
 1759 10:56:17.030675  # selftests: arm64: sme_vl
 1760 10:56:17.138458  # # SME VL :: Check that we get the right SME VL reported
 1761 10:56:17.144099  # Registered handlers for all signals.
 1762 10:56:17.144420  # Detected MINSTKSIGSZ:4720
 1763 10:56:17.149590  # ==>> completed. SKIP.
 1764 10:56:17.149938  ok 23 selftests: arm64: sme_vl # SKIP
 1765 10:56:17.174839  # timeout set to 45
 1766 10:56:17.175148  # selftests: arm64: ssve_regs
 1767 10:56:17.291486  # # Streaming SVE registers :: Check that we get the right Streaming SVE registers reported
 1768 10:56:17.297059  # Registered handlers for all signals.
 1769 10:56:17.297365  # Detected MINSTKSIGSZ:4720
 1770 10:56:17.297529  # ==>> completed. SKIP.
 1771 10:56:17.301314  ok 24 selftests: arm64: ssve_regs # SKIP
 1772 10:56:17.323323  # timeout set to 45
 1773 10:56:17.323637  # selftests: arm64: ssve_za_regs
 1774 10:56:17.430846  # # Streaming SVE registers :: Check that we get the right Streaming SVE registers reported
 1775 10:56:17.436576  # Registered handlers for all signals.
 1776 10:56:17.436884  # Detected MINSTKSIGSZ:4720
 1777 10:56:17.437053  # ==>> completed. SKIP.
 1778 10:56:17.441223  ok 25 selftests: arm64: ssve_za_regs # SKIP
 1779 10:56:17.458747  # timeout set to 45
 1780 10:56:17.459058  # selftests: arm64: sve_regs
 1781 10:56:17.574217  # # SVE registers :: Check that we get the right SVE registers reported
 1782 10:56:17.574606  # Registered handlers for all signals.
 1783 10:56:17.580039  # Detected MINSTKSIGSZ:4720
 1784 10:56:17.580347  # ==>> completed. SKIP.
 1785 10:56:17.584269  ok 26 selftests: arm64: sve_regs # SKIP
 1786 10:56:17.603194  # timeout set to 45
 1787 10:56:17.603496  # selftests: arm64: sve_vl
 1788 10:56:17.706053  # # SVE VL :: Check that we get the right SVE VL reported
 1789 10:56:17.711478  # Registered handlers for all signals.
 1790 10:56:17.711783  # Detected MINSTKSIGSZ:4720
 1791 10:56:17.716756  # ==>> completed. SKIP.
 1792 10:56:17.717067  ok 27 selftests: arm64: sve_vl # SKIP
 1793 10:56:17.741582  # timeout set to 45
 1794 10:56:17.741922  # selftests: arm64: tpidr2_restore
 1795 10:56:17.849070  # # TPIDR2 restore :: Validate that TPIDR2 is restored from the sigframe
 1796 10:56:17.849376  # Registered handlers for all signals.
 1797 10:56:17.854671  # Detected MINSTKSIGSZ:4720
 1798 10:56:17.854977  # ==>> completed. SKIP.
 1799 10:56:17.858691  ok 28 selftests: arm64: tpidr2_restore # SKIP
 1800 10:56:17.879781  # timeout set to 45
 1801 10:56:17.880086  # selftests: arm64: tpidr2_siginfo
 1802 10:56:17.983700  # # TPIDR2 :: Validate that TPIDR2 is present as expected
 1803 10:56:17.988953  # Registered handlers for all signals.
 1804 10:56:17.989267  # Detected MINSTKSIGSZ:4720
 1805 10:56:17.994370  # Testcase initialized.
 1806 10:56:17.994675  # uc context validated.
 1807 10:56:18.000099  # 4560 byte GOOD CONTEXT grabbed from sig_copyctx handler
 1808 10:56:18.005579  # Handled SIG_COPYCTX
 1809 10:56:18.005926  # TPIDR2 sigframe absent on system without SME
 1810 10:56:18.011587  # ==>> completed. PASS(1)
 1811 10:56:18.011894  ok 29 selftests: arm64: tpidr2_siginfo
 1812 10:56:18.018671  # timeout set to 45
 1813 10:56:18.018977  # selftests: arm64: za_no_regs
 1814 10:56:18.135461  # # ZA registers - ZA disabled :: Check ZA context with ZA disabled
 1815 10:56:18.135771  # Registered handlers for all signals.
 1816 10:56:18.141266  # Detected MINSTKSIGSZ:4720
 1817 10:56:18.141578  # ==>> completed. SKIP.
 1818 10:56:18.145228  ok 30 selftests: arm64: za_no_regs # SKIP
 1819 10:56:18.166550  # timeout set to 45
 1820 10:56:18.166853  # selftests: arm64: za_regs
 1821 10:56:18.276884  # # ZA register :: Check that we get the right ZA registers reported
 1822 10:56:18.277188  # Registered handlers for all signals.
 1823 10:56:18.282115  # Detected MINSTKSIGSZ:4720
 1824 10:56:18.282418  # ==>> completed. SKIP.
 1825 10:56:18.286371  ok 31 selftests: arm64: za_regs # SKIP
 1826 10:56:18.306214  # timeout set to 45
 1827 10:56:18.306519  # selftests: arm64: zt_no_regs
 1828 10:56:18.418865  # # ZT register data not present :: Validate that ZT is not present when ZA is disabled
 1829 10:56:18.424352  # Registered handlers for all signals.
 1830 10:56:18.424657  # Detected MINSTKSIGSZ:4720
 1831 10:56:18.424819  # ==>> completed. SKIP.
 1832 10:56:18.428357  ok 32 selftests: arm64: zt_no_regs # SKIP
 1833 10:56:18.447523  # timeout set to 45
 1834 10:56:18.447827  # selftests: arm64: zt_regs
 1835 10:56:18.567182  # # ZT register data :: Validate that ZT is present and has data when ZA is enabled
 1836 10:56:18.567490  # Registered handlers for all signals.
 1837 10:56:18.572934  # Detected MINSTKSIGSZ:4720
 1838 10:56:18.573238  # ==>> completed. SKIP.
 1839 10:56:18.577278  ok 33 selftests: arm64: zt_regs # SKIP
 1840 10:56:18.597959  # timeout set to 45
 1841 10:56:18.598263  # selftests: arm64: pac
 1842 10:56:18.705918  # TAP version 13
 1843 10:56:18.706311  # 1..7
 1844 10:56:18.711312  # # Starting 7 tests from 1 test cases.
 1845 10:56:18.711625  # #  RUN           global.corrupt_pac ...
 1846 10:56:18.716547  # #      SKIP      PAUTH not enabled
 1847 10:56:18.716862  # #            OK  global.corrupt_pac
 1848 10:56:18.722087  # ok 1 global.corrupt_pac # SKIP PAUTH not enabled
 1849 10:56:18.727847  # #  RUN           global.pac_instructions_not_nop ...
 1850 10:56:18.733192  # #      SKIP      PAUTH not enabled
 1851 10:56:18.738862  # #            OK  global.pac_instructions_not_nop
 1852 10:56:18.744105  # ok 2 global.pac_instructions_not_nop # SKIP PAUTH not enabled
 1853 10:56:18.749710  # #  RUN           global.pac_instructions_not_nop_generic ...
 1854 10:56:18.749987  # #      SKIP      Generic PAUTH not enabled
 1855 10:56:18.755128  # #            OK  global.pac_instructions_not_nop_generic
 1856 10:56:18.760692  # ok 3 global.pac_instructions_not_nop_generic # SKIP Generic PAUTH not enabled
 1857 10:56:18.766120  # #  RUN           global.single_thread_different_keys ...
 1858 10:56:18.771778  # #      SKIP      PAUTH not enabled
 1859 10:56:18.777510  # #            OK  global.single_thread_different_keys
 1860 10:56:18.783055  # ok 4 global.single_thread_different_keys # SKIP PAUTH not enabled
 1861 10:56:18.788641  # #  RUN           global.exec_changed_keys ...
 1862 10:56:18.789013  # #      SKIP      PAUTH not enabled
 1863 10:56:18.794256  # #            OK  global.exec_changed_keys
 1864 10:56:18.799498  # ok 5 global.exec_changed_keys # SKIP PAUTH not enabled
 1865 10:56:18.805250  # #  RUN           global.context_switch_keep_keys ...
 1866 10:56:18.805613  # #      SKIP      PAUTH not enabled
 1867 10:56:18.810496  # #            OK  global.context_switch_keep_keys
 1868 10:56:18.816057  # ok 6 global.context_switch_keep_keys # SKIP PAUTH not enabled
 1869 10:56:18.821418  # #  RUN           global.context_switch_keep_keys_generic ...
 1870 10:56:18.827238  # #      SKIP      Generic PAUTH not enabled
 1871 10:56:18.832609  # #            OK  global.context_switch_keep_keys_generic
 1872 10:56:18.838350  # ok 7 global.context_switch_keep_keys_generic # SKIP Generic PAUTH not enabled
 1873 10:56:18.843754  # # PASSED: 7 / 7 tests passed.
 1874 10:56:18.849374  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:7 error:0
 1875 10:56:18.849703  ok 34 selftests: arm64: pac
 1876 10:56:18.849921  # timeout set to 45
 1877 10:56:18.854798  # selftests: arm64: fp-ptrace
 1878 10:56:18.855104  # TAP version 13
 1879 10:56:18.855264  # 1..2
 1880 10:56:18.855414  # ok 1 No writes
 1881 10:56:18.860081  # ok 2 FPSIMD write
 1882 10:56:18.867747  # # Totals: pass:2 fail:0 xfail:0 xpass:0 skip:0 error:0
 1883 10:56:18.868060  ok 35 selftests: arm64: fp-ptrace
 1884 10:56:18.883042  # timeout set to 45
 1885 10:56:18.883346  # selftests: arm64: fp-stress
 1886 10:56:19.004476  <6>[  135.532478] NET: Registered PF_ALG protocol family
 1887 10:56:19.066242  # TAP version 13
 1888 10:56:19.066551  # 1..8
 1889 10:56:19.071744  # # 4 CPUs, 0 SVE VLs, 0 SME VLs, SME2 absent
 1890 10:56:19.072060  # # Will run for 10s
 1891 10:56:19.072221  # # Started FPSIMD-0-0
 1892 10:56:19.077210  # # Started KERNEL-0-0
 1893 10:56:19.077512  # # Started FPSIMD-1-0
 1894 10:56:19.077675  # # Started KERNEL-1-0
 1895 10:56:19.079288  # # Started FPSIMD-2-0
 1896 10:56:19.085077  # # Started KERNEL-2-0
 1897 10:56:19.085467  # # Started FPSIMD-3-0
 1898 10:56:19.090312  # # Started KERNEL-3-0
 1899 10:56:19.090658  # # FPSIMD-1-0: Vector length:	128 bits
 1900 10:56:19.095700  # # FPSIMD-1-0: PID:	1208
 1901 10:56:19.096005  # # FPSIMD-0-0: Vector length:	128 bits
 1902 10:56:19.101010  # # FPSIMD-0-0: PID:	1206
 1903 10:56:19.101204  # # FPSIMD-2-0: Vector length:	128 bits
 1904 10:56:19.106933  # # FPSIMD-2-0: PID:	1210
 1905 10:56:19.107247  # # FPSIMD-3-0: Vector length:	128 bits
 1906 10:56:19.112467  # # FPSIMD-3-0: PID:	1212
 1907 10:56:19.118046  # # KERNEL-0-0: Failed to bind crct10dif: No such file or directory (2)
 1908 10:56:19.123518  # # KERNEL-2-0: Failed to bind crct10dif: No such file or directory (2)
 1909 10:56:19.129135  # # KERNEL-1-0: Failed to bind crct10dif: No such file or directory (2)
 1910 10:56:19.134371  # # KERNEL-0-0: Vector length:	128 bits
 1911 10:56:19.134679  # # KERNEL-0-0: PID:	1207
 1912 10:56:19.139973  # # KERNEL-3-0: Failed to bind crct10dif: No such file or directory (2)
 1913 10:56:19.145505  # # KERNEL-2-0: Vector length:	128 bits
 1914 10:56:19.151193  # # KERNEL-2-0: PID:	1211
 1915 10:56:19.151586  # # KERNEL-1-0: Vector length:	128 bits
 1916 10:56:19.156565  # # KERNEL-1-0: PID:	1209
 1917 10:56:19.156873  # # KERNEL-3-0: Vector length:	128 bits
 1918 10:56:19.160580  # # KERNEL-3-0: PID:	1213
 1919 10:56:20.056077  # # Sending signals, timeout remaining: 10
 1920 10:56:21.057333  # # Sending signals, timeout remaining: 9
 1921 10:56:22.058880  # # Sending signals, timeout remaining: 8
 1922 10:56:23.058419  # # Sending signals, timeout remaining: 7
 1923 10:56:24.060011  # # Sending signals, timeout remaining: 6
 1924 10:56:25.060621  # # Sending signals, timeout remaining: 5
 1925 10:56:26.062214  # # Sending signals, timeout remaining: 4
 1926 10:56:27.062788  # # Sending signals, timeout remaining: 3
 1927 10:56:28.064137  # # Sending signals, timeout remaining: 2
 1928 10:56:29.064916  # # Sending signals, timeout remaining: 1
 1929 10:56:29.065327  # # Finishing up...
 1930 10:56:29.070023  # ok 1 FPSIMD-0-0
 1931 10:56:29.070216  # ok 2 KERNEL-0-0
 1932 10:56:29.070367  # ok 3 FPSIMD-1-0
 1933 10:56:29.075923  # ok 4 KERNEL-1-0
 1934 10:56:29.076327  # ok 5 FPSIMD-2-0
 1935 10:56:29.076487  # ok 6 KERNEL-2-0
 1936 10:56:29.081204  # ok 7 FPSIMD-3-0
 1937 10:56:29.081579  # ok 8 KERNEL-3-0
 1938 10:56:29.086875  # # FPSIMD-2-0: Terminated by signal 15, no error, iterations=519335, signals=10
 1939 10:56:29.092492  # # KERNEL-3-0: Terminated by signal 15, no error, iterations=517805, signals=10
 1940 10:56:29.103383  # # FPSIMD-1-0: Terminated by signal 15, no error, iterations=494125, signals=9
 1941 10:56:29.108752  # # FPSIMD-3-0: Terminated by signal 15, no error, iterations=503406, signals=9
 1942 10:56:29.114138  # # KERNEL-1-0: Terminated by signal 15, no error, iterations=520191, signals=10
 1943 10:56:29.125312  # # KERNEL-2-0: Terminated by signal 15, no error, iterations=488748, signals=10
 1944 10:56:29.130992  # # FPSIMD-0-0: Terminated by signal 15, no error, iterations=513168, signals=9
 1945 10:56:29.136371  # # KERNEL-0-0: Terminated by signal 15, no error, iterations=555903, signals=10
 1946 10:56:29.141852  # # Totals: pass:8 fail:0 xfail:0 xpass:0 skip:0 error:0
 1947 10:56:29.148864  ok 36 selftests: arm64: fp-stress
 1948 10:56:29.149091  # timeout set to 45
 1949 10:56:29.149263  # selftests: arm64: sve-ptrace
 1950 10:56:29.220264  # TAP version 13
 1951 10:56:29.220653  # 1..144
 1952 10:56:29.220813  # ok 2 # SKIP SVE not available
 1953 10:56:29.225424  # # Planned tests != run tests (144 != 1)
 1954 10:56:29.231225  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:1 error:0
 1955 10:56:29.235465  ok 37 selftests: arm64: sve-ptrace # SKIP
 1956 10:56:29.254081  # timeout set to 45
 1957 10:56:29.254385  # selftests: arm64: sve-probe-vls
 1958 10:56:29.351729  # TAP version 13
 1959 10:56:29.352102  # 1..2
 1960 10:56:29.352265  # ok 2 # SKIP SVE not available
 1961 10:56:29.356892  # # Planned tests != run tests (2 != 1)
 1962 10:56:29.362767  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:1 error:0
 1963 10:56:29.365520  ok 38 selftests: arm64: sve-probe-vls # SKIP
 1964 10:56:29.385972  # timeout set to 45
 1965 10:56:29.386282  # selftests: arm64: vec-syscfg
 1966 10:56:29.489432  # TAP version 13
 1967 10:56:29.489857  # 1..21
 1968 10:56:29.490034  # ok 1 # SKIP SVE not supported
 1969 10:56:29.494916  # ok 2 # SKIP SVE not supported
 1970 10:56:29.495242  # ok 3 # SKIP SVE not supported
 1971 10:56:29.500424  # ok 4 # SKIP SVE not supported
 1972 10:56:29.500812  # ok 5 # SKIP SVE not supported
 1973 10:56:29.503847  # ok 6 # SKIP SVE not supported
 1974 10:56:29.509238  # ok 7 # SKIP SVE not supported
 1975 10:56:29.509603  # ok 8 # SKIP SVE not supported
 1976 10:56:29.514993  # ok 9 # SKIP SVE not supported
 1977 10:56:29.515299  # ok 10 # SKIP SVE not supported
 1978 10:56:29.520327  # ok 11 # SKIP SME not supported
 1979 10:56:29.523733  # ok 12 # SKIP SME not supported
 1980 10:56:29.523944  # ok 13 # SKIP SME not supported
 1981 10:56:29.529715  # ok 14 # SKIP SME not supported
 1982 10:56:29.530061  # ok 15 # SKIP SME not supported
 1983 10:56:29.535141  # ok 16 # SKIP SME not supported
 1984 10:56:29.540417  # ok 17 # SKIP SME not supported
 1985 10:56:29.540733  # ok 18 # SKIP SME not supported
 1986 10:56:29.543885  # ok 19 # SKIP SME not supported
 1987 10:56:29.544097  # ok 20 # SKIP SME not supported
 1988 10:56:29.549384  # ok 21 # SKIP change_sve_with_za
 1989 10:56:29.555058  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:21 error:0
 1990 10:56:29.555364  ok 39 selftests: arm64: vec-syscfg
 1991 10:56:29.561916  # timeout set to 45
 1992 10:56:29.562306  # selftests: arm64: za-fork
 1993 10:56:29.632765  # TAP version 13
 1994 10:56:29.633166  # 1..1
 1995 10:56:29.633333  # # PID: 1296
 1996 10:56:29.633474  # # SME not supported
 1997 10:56:29.637911  # ok 1 # SKIP fork_test
 1998 10:56:29.644890  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:1 error:0
 1999 10:56:29.645280  ok 40 selftests: arm64: za-fork
 2000 10:56:29.667212  # timeout set to 45
 2001 10:56:29.667604  # selftests: arm64: za-ptrace
 2002 10:56:29.772005  # TAP version 13
 2003 10:56:29.772398  # 1..1
 2004 10:56:29.772555  # ok 2 # SKIP SME not available
 2005 10:56:29.777231  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:1 error:0
 2006 10:56:29.782054  ok 41 selftests: arm64: za-ptrace # SKIP
 2007 10:56:29.807644  # timeout set to 45
 2008 10:56:29.807951  # selftests: arm64: check_buffer_fill
 2009 10:56:29.923138  # # SKIP: MTE features unavailable
 2010 10:56:29.929371  ok 42 selftests: arm64: check_buffer_fill # SKIP
 2011 10:56:29.959900  # timeout set to 45
 2012 10:56:29.960212  # selftests: arm64: check_child_memory
 2013 10:56:30.066324  # # SKIP: MTE features unavailable
 2014 10:56:30.070764  ok 43 selftests: arm64: check_child_memory # SKIP
 2015 10:56:30.098902  # timeout set to 45
 2016 10:56:30.099214  # selftests: arm64: check_gcr_el1_cswitch
 2017 10:56:30.205307  # # SKIP: MTE features unavailable
 2018 10:56:30.209324  ok 44 selftests: arm64: check_gcr_el1_cswitch # SKIP
 2019 10:56:30.238125  # timeout set to 45
 2020 10:56:30.238505  # selftests: arm64: check_ksm_options
 2021 10:56:30.342885  # # SKIP: MTE features unavailable
 2022 10:56:30.346968  ok 45 selftests: arm64: check_ksm_options # SKIP
 2023 10:56:30.377694  # timeout set to 45
 2024 10:56:30.378030  # selftests: arm64: check_mmap_options
 2025 10:56:30.482017  # # SKIP: MTE features unavailable
 2026 10:56:30.486049  ok 46 selftests: arm64: check_mmap_options # SKIP
 2027 10:56:30.515717  # timeout set to 45
 2028 10:56:30.516019  # selftests: arm64: check_prctl
 2029 10:56:30.627211  # TAP version 13
 2030 10:56:30.627605  # 1..5
 2031 10:56:30.627763  # ok 1 check_basic_read
 2032 10:56:30.627906  # ok 2 NONE
 2033 10:56:30.632541  # ok 3 # SKIP SYNC
 2034 10:56:30.632846  # ok 4 # SKIP ASYNC
 2035 10:56:30.637874  # ok 5 # SKIP SYNC+ASYNC
 2036 10:56:30.638188  # # Totals: pass:2 fail:0 xfail:0 xpass:0 skip:3 error:0
 2037 10:56:30.642161  ok 47 selftests: arm64: check_prctl
 2038 10:56:30.661855  # timeout set to 45
 2039 10:56:30.662162  # selftests: arm64: check_tags_inclusion
 2040 10:56:30.769662  # # SKIP: MTE features unavailable
 2041 10:56:30.774118  ok 48 selftests: arm64: check_tags_inclusion # SKIP
 2042 10:56:30.803371  # timeout set to 45
 2043 10:56:30.803761  # selftests: arm64: check_user_mem
 2044 10:56:30.908084  # # SKIP: MTE features unavailable
 2045 10:56:30.912708  ok 49 selftests: arm64: check_user_mem # SKIP
 2046 10:56:30.939722  # timeout set to 45
 2047 10:56:30.940029  # selftests: arm64: btitest
 2048 10:56:31.043801  # TAP version 13
 2049 10:56:31.044110  # 1..18
 2050 10:56:31.044272  # # HWCAP_PACA not present
 2051 10:56:31.049234  # # HWCAP2_BTI not present
 2052 10:56:31.049542  # # Test binary built for BTI
 2053 10:56:31.054742  # ok 1 nohint_func/call_using_br_x0
 2054 10:56:31.055057  # ok 2 nohint_func/call_using_br_x16
 2055 10:56:31.060285  # ok 3 nohint_func/call_using_blr
 2056 10:56:31.065596  # ok 4 bti_none_func/call_using_br_x0
 2057 10:56:31.065937  # ok 5 bti_none_func/call_using_br_x16
 2058 10:56:31.070965  # ok 6 bti_none_func/call_using_blr
 2059 10:56:31.071193  # ok 7 bti_c_func/call_using_br_x0
 2060 10:56:31.076513  # ok 8 bti_c_func/call_using_br_x16
 2061 10:56:31.076733  # ok 9 bti_c_func/call_using_blr
 2062 10:56:31.082257  # ok 10 bti_j_func/call_using_br_x0
 2063 10:56:31.087631  # ok 11 bti_j_func/call_using_br_x16
 2064 10:56:31.087939  # ok 12 bti_j_func/call_using_blr
 2065 10:56:31.093145  # ok 13 bti_jc_func/call_using_br_x0
 2066 10:56:31.093452  # ok 14 bti_jc_func/call_using_br_x16
 2067 10:56:31.098714  # ok 15 bti_jc_func/call_using_blr
 2068 10:56:31.104159  # ok 16 paciasp_func/call_using_br_x0
 2069 10:56:31.104463  # ok 17 paciasp_func/call_using_br_x16
 2070 10:56:31.109579  # ok 18 paciasp_func/call_using_blr
 2071 10:56:31.115133  # # Totals: pass:18 fail:0 xfail:0 xpass:0 skip:0 error:0
 2072 10:56:31.115365  ok 50 selftests: arm64: btitest
 2073 10:56:31.121664  # timeout set to 45
 2074 10:56:31.122070  # selftests: arm64: nobtitest
 2075 10:56:31.178200  # TAP version 13
 2076 10:56:31.178593  # 1..18
 2077 10:56:31.178754  # # HWCAP_PACA not present
 2078 10:56:31.183653  # # HWCAP2_BTI not present
 2079 10:56:31.183957  # # Test binary not built for BTI
 2080 10:56:31.189288  # ok 1 nohint_func/call_using_br_x0
 2081 10:56:31.194667  # ok 2 nohint_func/call_using_br_x16
 2082 10:56:31.195020  # ok 3 nohint_func/call_using_blr
 2083 10:56:31.199946  # ok 4 bti_none_func/call_using_br_x0
 2084 10:56:31.200250  # ok 5 bti_none_func/call_using_br_x16
 2085 10:56:31.205492  # ok 6 bti_none_func/call_using_blr
 2086 10:56:31.205861  # ok 7 bti_c_func/call_using_br_x0
 2087 10:56:31.211237  # ok 8 bti_c_func/call_using_br_x16
 2088 10:56:31.216672  # ok 9 bti_c_func/call_using_blr
 2089 10:56:31.217037  # ok 10 bti_j_func/call_using_br_x0
 2090 10:56:31.222057  # ok 11 bti_j_func/call_using_br_x16
 2091 10:56:31.222370  # ok 12 bti_j_func/call_using_blr
 2092 10:56:31.227522  # ok 13 bti_jc_func/call_using_br_x0
 2093 10:56:31.227824  # ok 14 bti_jc_func/call_using_br_x16
 2094 10:56:31.232932  # ok 15 bti_jc_func/call_using_blr
 2095 10:56:31.238749  # ok 16 paciasp_func/call_using_br_x0
 2096 10:56:31.239056  # ok 17 paciasp_func/call_using_br_x16
 2097 10:56:31.244224  # ok 18 paciasp_func/call_using_blr
 2098 10:56:31.249664  # # Totals: pass:18 fail:0 xfail:0 xpass:0 skip:0 error:0
 2099 10:56:31.250000  ok 51 selftests: arm64: nobtitest
 2100 10:56:31.256175  # timeout set to 45
 2101 10:56:31.256480  # selftests: arm64: hwcap
 2102 10:56:31.313486  # TAP version 13
 2103 10:56:31.313898  # 1..168
 2104 10:56:31.314061  # # AES present
 2105 10:56:31.319015  # ok 1 cpuinfo_match_AES
 2106 10:56:31.319406  # ok 2 sigill_AES
 2107 10:56:31.319563  # ok 3 # SKIP sigbus_AES
 2108 10:56:31.324426  # # CRC32 present
 2109 10:56:31.324753  # ok 4 cpuinfo_match_CRC32
 2110 10:56:31.324918  # ok 5 sigill_CRC32
 2111 10:56:31.330110  # ok 6 # SKIP sigbus_CRC32
 2112 10:56:31.330502  # ok 7 cpuinfo_match_CSSC
 2113 10:56:31.335576  # # sigill_reported for CSSC
 2114 10:56:31.335967  # ok 8 # SKIP sigill_CSSC
 2115 10:56:31.340778  # ok 9 # SKIP sigbus_CSSC
 2116 10:56:31.341140  # ok 10 cpuinfo_match_F8CVT
 2117 10:56:31.341304  # # sigill_reported for F8CVT
 2118 10:56:31.346386  # ok 11 # SKIP sigill_F8CVT
 2119 10:56:31.346693  # ok 12 # SKIP sigbus_F8CVT
 2120 10:56:31.351771  # ok 13 cpuinfo_match_F8DP4
 2121 10:56:31.352077  # # sigill_reported for F8DP4
 2122 10:56:31.357457  # ok 14 # SKIP sigill_F8DP4
 2123 10:56:31.357875  # ok 15 # SKIP sigbus_F8DP4
 2124 10:56:31.363079  # ok 16 cpuinfo_match_F8DP2
 2125 10:56:31.363385  # # sigill_reported for F8DP2
 2126 10:56:31.368609  # ok 17 # SKIP sigill_F8DP2
 2127 10:56:31.368915  # ok 18 # SKIP sigbus_F8DP2
 2128 10:56:31.373882  # ok 19 cpuinfo_match_F8E5M2
 2129 10:56:31.374188  # ok 20 # SKIP sigill_F8E5M2
 2130 10:56:31.374351  # ok 21 # SKIP sigbus_F8E5M2
 2131 10:56:31.379721  # ok 22 cpuinfo_match_F8E4M3
 2132 10:56:31.380076  # ok 23 # SKIP sigill_F8E4M3
 2133 10:56:31.384895  # ok 24 # SKIP sigbus_F8E4M3
 2134 10:56:31.385201  # ok 25 cpuinfo_match_F8FMA
 2135 10:56:31.390675  # # sigill_reported for F8FMA
 2136 10:56:31.390980  # ok 26 # SKIP sigill_F8FMA
 2137 10:56:31.395915  # ok 27 # SKIP sigbus_F8FMA
 2138 10:56:31.396221  # ok 28 cpuinfo_match_FAMINMAX
 2139 10:56:31.401359  # # sigill_reported for FAMINMAX
 2140 10:56:31.401584  # ok 29 # SKIP sigill_FAMINMAX
 2141 10:56:31.406872  # ok 30 # SKIP sigbus_FAMINMAX
 2142 10:56:31.407096  # # FP present
 2143 10:56:31.407266  # ok 31 cpuinfo_match_FP
 2144 10:56:31.412546  # ok 32 sigill_FP
 2145 10:56:31.412765  # ok 33 # SKIP sigbus_FP
 2146 10:56:31.418439  # ok 34 cpuinfo_match_FPMR
 2147 10:56:31.418825  # ok 35 sigill_FPMR
 2148 10:56:31.418985  # ok 36 # SKIP sigbus_FPMR
 2149 10:56:31.423888  # ok 37 cpuinfo_match_JSCVT
 2150 10:56:31.424230  # # sigill_reported for JSCVT
 2151 10:56:31.429544  # ok 38 # SKIP sigill_JSCVT
 2152 10:56:31.429973  # ok 39 # SKIP sigbus_JSCVT
 2153 10:56:31.435036  # ok 40 cpuinfo_match_LRCPC
 2154 10:56:31.435426  # # sigill_reported for LRCPC
 2155 10:56:31.440373  # ok 41 # SKIP sigill_LRCPC
 2156 10:56:31.440676  # ok 42 # SKIP sigbus_LRCPC
 2157 10:56:31.440840  # ok 43 cpuinfo_match_LRCPC2
 2158 10:56:31.446054  # # sigill_reported for LRCPC2
 2159 10:56:31.446439  # ok 44 # SKIP sigill_LRCPC2
 2160 10:56:31.451210  # ok 45 # SKIP sigbus_LRCPC2
 2161 10:56:31.451597  # ok 46 cpuinfo_match_LRCPC3
 2162 10:56:31.456955  # # sigill_reported for LRCPC3
 2163 10:56:31.457308  # ok 47 # SKIP sigill_LRCPC3
 2164 10:56:31.462404  # ok 48 # SKIP sigbus_LRCPC3
 2165 10:56:31.462708  # ok 49 cpuinfo_match_LSE
 2166 10:56:31.468086  # # sigill_reported for LSE
 2167 10:56:31.468412  # ok 50 # SKIP sigill_LSE
 2168 10:56:31.473652  # ok 51 # SKIP sigbus_LSE
 2169 10:56:31.474065  # ok 52 cpuinfo_match_LSE2
 2170 10:56:31.474223  # # sigill_reported for LSE2
 2171 10:56:31.478942  # ok 53 # SKIP sigill_LSE2
 2172 10:56:31.479297  # ok 54 # SKIP sigbus_LSE2
 2173 10:56:31.484526  # ok 55 cpuinfo_match_LSE128
 2174 10:56:31.484830  # # sigill_reported for LSE128
 2175 10:56:31.489773  # ok 56 # SKIP sigill_LSE128
 2176 10:56:31.490111  # ok 57 # SKIP sigbus_LSE128
 2177 10:56:31.495365  # ok 58 cpuinfo_match_LUT
 2178 10:56:31.495684  # # sigill_reported for LUT
 2179 10:56:31.500827  # ok 59 # SKIP sigill_LUT
 2180 10:56:31.501135  # ok 60 # SKIP sigbus_LUT
 2181 10:56:31.501295  # ok 61 cpuinfo_match_MOPS
 2182 10:56:31.506678  # ok 62 sigill_MOPS
 2183 10:56:31.506992  # ok 63 # SKIP sigbus_MOPS
 2184 10:56:31.507153  # # PMULL present
 2185 10:56:31.512116  # ok 64 cpuinfo_match_PMULL
 2186 10:56:31.512420  # ok 65 sigill_PMULL
 2187 10:56:31.517426  # ok 66 # SKIP sigbus_PMULL
 2188 10:56:31.517825  # ok 67 cpuinfo_match_POE
 2189 10:56:31.517996  # ok 68 sigill_POE
 2190 10:56:31.522918  # ok 69 # SKIP sigbus_POE
 2191 10:56:31.523224  # ok 70 cpuinfo_match_RNG
 2192 10:56:31.528458  # # sigill_reported for RNG
 2193 10:56:31.528763  # ok 71 # SKIP sigill_RNG
 2194 10:56:31.533963  # ok 72 # SKIP sigbus_RNG
 2195 10:56:31.534280  # ok 73 cpuinfo_match_RPRFM
 2196 10:56:31.534444  # ok 74 # SKIP sigill_RPRFM
 2197 10:56:31.539715  # ok 75 # SKIP sigbus_RPRFM
 2198 10:56:31.540020  # # SHA1 present
 2199 10:56:31.545188  # ok 76 cpuinfo_match_SHA1
 2200 10:56:31.545491  # ok 77 sigill_SHA1
 2201 10:56:31.545650  # ok 78 # SKIP sigbus_SHA1
 2202 10:56:31.550828  # # SHA2 present
 2203 10:56:31.551132  # ok 79 cpuinfo_match_SHA2
 2204 10:56:31.551296  # ok 80 sigill_SHA2
 2205 10:56:31.556290  # ok 81 # SKIP sigbus_SHA2
 2206 10:56:31.556595  # ok 82 cpuinfo_match_SHA512
 2207 10:56:31.561925  # # sigill_reported for SHA512
 2208 10:56:31.562311  # ok 83 # SKIP sigill_SHA512
 2209 10:56:31.567456  # ok 84 # SKIP sigbus_SHA512
 2210 10:56:31.567783  # ok 85 cpuinfo_match_SME
 2211 10:56:31.572889  # ok 86 sigill_SME
 2212 10:56:31.573215  # ok 87 # SKIP sigbus_SME
 2213 10:56:31.573378  # ok 88 cpuinfo_match_SME2
 2214 10:56:31.578463  # ok 89 sigill_SME2
 2215 10:56:31.578851  # ok 90 # SKIP sigbus_SME2
 2216 10:56:31.583750  # ok 91 cpuinfo_match_SME 2.1
 2217 10:56:31.584052  # # sigill_reported for SME 2.1
 2218 10:56:31.589398  # ok 92 # SKIP sigill_SME 2.1
 2219 10:56:31.589702  # ok 93 # SKIP sigbus_SME 2.1
 2220 10:56:31.595093  # ok 94 cpuinfo_match_SME I16I32
 2221 10:56:31.595398  # # sigill_reported for SME I16I32
 2222 10:56:31.600487  # ok 95 # SKIP sigill_SME I16I32
 2223 10:56:31.600861  # ok 96 # SKIP sigbus_SME I16I32
 2224 10:56:31.606049  # ok 97 cpuinfo_match_SME BI32I32
 2225 10:56:31.606441  # # sigill_reported for SME BI32I32
 2226 10:56:31.611521  # ok 98 # SKIP sigill_SME BI32I32
 2227 10:56:31.616896  # ok 99 # SKIP sigbus_SME BI32I32
 2228 10:56:31.617281  # ok 100 cpuinfo_match_SME B16B16
 2229 10:56:31.622371  # # sigill_reported for SME B16B16
 2230 10:56:31.622676  # ok 101 # SKIP sigill_SME B16B16
 2231 10:56:31.627791  # ok 102 # SKIP sigbus_SME B16B16
 2232 10:56:31.628097  # ok 103 cpuinfo_match_SME F16F16
 2233 10:56:31.633364  # # sigill_reported for SME F16F16
 2234 10:56:31.633752  # ok 104 # SKIP sigill_SME F16F16
 2235 10:56:31.639064  # ok 105 # SKIP sigbus_SME F16F16
 2236 10:56:31.639369  # ok 106 cpuinfo_match_SME F8F16
 2237 10:56:31.644608  # # sigill_reported for SME F8F16
 2238 10:56:31.649884  # ok 107 # SKIP sigill_SME F8F16
 2239 10:56:31.650190  # ok 108 # SKIP sigbus_SME F8F16
 2240 10:56:31.655704  # ok 109 cpuinfo_match_SME F8F32
 2241 10:56:31.656010  # # sigill_reported for SME F8F32
 2242 10:56:31.660905  # ok 110 # SKIP sigill_SME F8F32
 2243 10:56:31.661207  # ok 111 # SKIP sigbus_SME F8F32
 2244 10:56:31.666709  # ok 112 cpuinfo_match_SME LUTV2
 2245 10:56:31.667019  # # sigill_reported for SME LUTV2
 2246 10:56:31.671999  # ok 113 # SKIP sigill_SME LUTV2
 2247 10:56:31.672226  # ok 114 # SKIP sigbus_SME LUTV2
 2248 10:56:31.677397  # ok 115 cpuinfo_match_SME SF8FMA
 2249 10:56:31.677625  # # sigill_reported for SME SF8FMA
 2250 10:56:31.683269  # ok 116 # SKIP sigill_SME SF8FMA
 2251 10:56:31.689053  # ok 117 # SKIP sigbus_SME SF8FMA
 2252 10:56:31.689442  # ok 118 cpuinfo_match_SME SF8DP2
 2253 10:56:31.694355  # # sigill_reported for SME SF8DP2
 2254 10:56:31.694744  # ok 119 # SKIP sigill_SME SF8DP2
 2255 10:56:31.699677  # ok 120 # SKIP sigbus_SME SF8DP2
 2256 10:56:31.699996  # ok 121 cpuinfo_match_SME SF8DP4
 2257 10:56:31.705392  # # sigill_reported for SME SF8DP4
 2258 10:56:31.705808  # ok 122 # SKIP sigill_SME SF8DP4
 2259 10:56:31.710943  # ok 123 # SKIP sigbus_SME SF8DP4
 2260 10:56:31.711272  # ok 124 cpuinfo_match_SVE
 2261 10:56:31.716370  # ok 125 sigill_SVE
 2262 10:56:31.716676  # ok 126 # SKIP sigbus_SVE
 2263 10:56:31.721990  # ok 127 cpuinfo_match_SVE 2
 2264 10:56:31.722297  # # sigill_reported for SVE 2
 2265 10:56:31.727139  # ok 128 # SKIP sigill_SVE 2
 2266 10:56:31.727445  # ok 129 # SKIP sigbus_SVE 2
 2267 10:56:31.733144  # ok 130 cpuinfo_match_SVE 2.1
 2268 10:56:31.733532  # # sigill_reported for SVE 2.1
 2269 10:56:31.738456  # ok 131 # SKIP sigill_SVE 2.1
 2270 10:56:31.738841  # ok 132 # SKIP sigbus_SVE 2.1
 2271 10:56:31.744104  # ok 133 cpuinfo_match_SVE AES
 2272 10:56:31.744411  # # sigill_reported for SVE AES
 2273 10:56:31.749313  # ok 134 # SKIP sigill_SVE AES
 2274 10:56:31.749699  # ok 135 # SKIP sigbus_SVE AES
 2275 10:56:31.754795  # ok 136 cpuinfo_match_SVE2 B16B16
 2276 10:56:31.755100  # # sigill_reported for SVE2 B16B16
 2277 10:56:31.760190  # ok 137 # SKIP sigill_SVE2 B16B16
 2278 10:56:31.760408  # ok 138 # SKIP sigbus_SVE2 B16B16
 2279 10:56:31.765878  # ok 139 cpuinfo_match_SVE2 PMULL
 2280 10:56:31.766115  # # sigill_reported for SVE2 PMULL
 2281 10:56:31.771648  # ok 140 # SKIP sigill_SVE2 PMULL
 2282 10:56:31.776849  # ok 141 # SKIP sigbus_SVE2 PMULL
 2283 10:56:31.777155  # ok 142 cpuinfo_match_SVE2 BITPERM
 2284 10:56:31.782371  # # sigill_reported for SVE2 BITPERM
 2285 10:56:31.782675  # ok 143 # SKIP sigill_SVE2 BITPERM
 2286 10:56:31.788338  # ok 144 # SKIP sigbus_SVE2 BITPERM
 2287 10:56:31.788643  # ok 145 cpuinfo_match_SVE2 SHA3
 2288 10:56:31.793344  # # sigill_reported for SVE2 SHA3
 2289 10:56:31.793577  # ok 146 # SKIP sigill_SVE2 SHA3
 2290 10:56:31.798924  # ok 147 # SKIP sigbus_SVE2 SHA3
 2291 10:56:31.804685  # ok 148 cpuinfo_match_SVE2 SM4
 2292 10:56:31.805047  # # sigill_reported for SVE2 SM4
 2293 10:56:31.809982  # ok 149 # SKIP sigill_SVE2 SM4
 2294 10:56:31.810295  # ok 150 # SKIP sigbus_SVE2 SM4
 2295 10:56:31.815745  # ok 151 cpuinfo_match_SVE2 I8MM
 2296 10:56:31.816055  # # sigill_reported for SVE2 I8MM
 2297 10:56:31.821008  # ok 152 # SKIP sigill_SVE2 I8MM
 2298 10:56:31.821314  # ok 153 # SKIP sigbus_SVE2 I8MM
 2299 10:56:31.826760  # ok 154 cpuinfo_match_SVE2 F32MM
 2300 10:56:31.827072  # # sigill_reported for SVE2 F32MM
 2301 10:56:31.832108  # ok 155 # SKIP sigill_SVE2 F32MM
 2302 10:56:31.832416  # ok 156 # SKIP sigbus_SVE2 F32MM
 2303 10:56:31.837719  # ok 157 cpuinfo_match_SVE2 F64MM
 2304 10:56:31.843326  # # sigill_reported for SVE2 F64MM
 2305 10:56:31.843635  # ok 158 # SKIP sigill_SVE2 F64MM
 2306 10:56:31.848776  # ok 159 # SKIP sigbus_SVE2 F64MM
 2307 10:56:31.849084  # ok 160 cpuinfo_match_SVE2 BF16
 2308 10:56:31.854182  # # sigill_reported for SVE2 BF16
 2309 10:56:31.854486  # ok 161 # SKIP sigill_SVE2 BF16
 2310 10:56:31.859715  # ok 162 # SKIP sigbus_SVE2 BF16
 2311 10:56:31.860021  # ok 163 cpuinfo_match_SVE2 EBF16
 2312 10:56:31.865146  # ok 164 # SKIP sigill_SVE2 EBF16
 2313 10:56:31.865458  # ok 165 # SKIP sigbus_SVE2 EBF16
 2314 10:56:31.870945  # ok 166 cpuinfo_match_HBC
 2315 10:56:31.871252  # ok 167 sigill_HBC
 2316 10:56:31.876379  # ok 168 # SKIP sigbus_HBC
 2317 10:56:31.881814  # # Totals: pass:69 fail:0 xfail:0 xpass:0 skip:99 error:0
 2318 10:56:31.882123  ok 52 selftests: arm64: hwcap
 2319 10:56:31.882288  # timeout set to 45
 2320 10:56:31.887420  # selftests: arm64: ptrace
 2321 10:56:31.887730  # TAP version 13
 2322 10:56:31.887890  # 1..11
 2323 10:56:31.892785  # # Parent is 1538, child is 1539
 2324 10:56:31.893091  # ok 1 read_tpidr_one
 2325 10:56:31.898379  # ok 2 write_tpidr_one
 2326 10:56:31.898691  # ok 3 verify_tpidr_one
 2327 10:56:31.898854  # ok 4 count_tpidrs
 2328 10:56:31.904082  # ok 5 tpidr2_write
 2329 10:56:31.904394  # ok 6 tpidr2_read
 2330 10:56:31.904556  # ok 7 write_tpidr_only
 2331 10:56:31.909344  # ok 8 read_NT_ARM_HW_WATCH
 2332 10:56:31.909652  # # NT_ARM_HW_WATCH version 6 with 4 slots
 2333 10:56:31.915082  # ok 9 NT_ARM_HW_WATCH_arch_set
 2334 10:56:31.915392  # ok 10 read_NT_ARM_HW_BREAK
 2335 10:56:31.920602  # # NT_ARM_HW_BREAK version 6 with 6 slots
 2336 10:56:31.925956  # ok 11 NT_ARM_HW_BREAK_arch_set
 2337 10:56:31.931406  # # Totals: pass:11 fail:0 xfail:0 xpass:0 skip:0 error:0
 2338 10:56:31.931713  ok 53 selftests: arm64: ptrace
 2339 10:56:31.931879  # timeout set to 45
 2340 10:56:31.936992  # selftests: arm64: syscall-abi
 2341 10:56:31.937302  # TAP version 13
 2342 10:56:31.937469  # 1..2
 2343 10:56:31.942867  # ok 1 getpid() FPSIMD
 2344 10:56:31.943176  # ok 2 sched_yield() FPSIMD
 2345 10:56:31.948038  # # Totals: pass:2 fail:0 xfail:0 xpass:0 skip:0 error:0
 2346 10:56:31.953489  ok 54 selftests: arm64: syscall-abi
 2347 10:56:31.953818  # timeout set to 45
 2348 10:56:31.953986  # selftests: arm64: tpidr2
 2349 10:56:31.959304  # TAP version 13
 2350 10:56:31.959610  # 1..5
 2351 10:56:31.959775  # # PID: 1575
 2352 10:56:31.959921  # # SME support not present
 2353 10:56:31.964922  # ok 1 # SKIP default_value
 2354 10:56:31.965229  # ok 2 # SKIP write_read
 2355 10:56:31.970053  # ok 3 # SKIP write_sleep_read
 2356 10:56:31.970360  # ok 4 # SKIP write_fork_read
 2357 10:56:31.975952  # ok 5 # SKIP write_clone_read
 2358 10:56:31.982788  # # Totals: pass:0 fail:0 xfail:0 xpass:0 skip:5 error:0
 2359 10:56:31.983100  ok 55 selftests: arm64: tpidr2
 2360 10:56:33.546962  arm64_tags_test_Syscall_successful_with_tagged_address pass
 2361 10:56:33.552233  arm64_tags_test pass
 2362 10:56:33.552470  arm64_fake_sigreturn_bad_magic pass
 2363 10:56:33.557889  arm64_fake_sigreturn_bad_size pass
 2364 10:56:33.563469  arm64_fake_sigreturn_bad_size_for_magic0 pass
 2365 10:56:33.563856  arm64_fake_sigreturn_duplicated_fpsimd pass
 2366 10:56:33.568923  arm64_fake_sigreturn_misaligned_sp pass
 2367 10:56:33.574437  arm64_fake_sigreturn_missing_fpsimd pass
 2368 10:56:33.574822  arm64_fake_sigreturn_sme_change_vl skip
 2369 10:56:33.580016  arm64_fake_sigreturn_sve_change_vl skip
 2370 10:56:33.580361  arm64_fpmr_siginfo pass
 2371 10:56:33.585597  arm64_mangle_pstate_invalid_compat_toggle pass
 2372 10:56:33.591126  arm64_mangle_pstate_invalid_daif_bits pass
 2373 10:56:33.591512  arm64_mangle_pstate_invalid_mode_el1h pass
 2374 10:56:33.596490  arm64_mangle_pstate_invalid_mode_el1t pass
 2375 10:56:33.602149  arm64_mangle_pstate_invalid_mode_el2h pass
 2376 10:56:33.607600  arm64_mangle_pstate_invalid_mode_el2t pass
 2377 10:56:33.607901  arm64_mangle_pstate_invalid_mode_el3h pass
 2378 10:56:33.613044  arm64_mangle_pstate_invalid_mode_el3t pass
 2379 10:56:33.613401  arm64_poe_siginfo pass
 2380 10:56:33.618436  arm64_sme_trap_no_sm skip
 2381 10:56:33.618820  arm64_sme_trap_non_streaming skip
 2382 10:56:33.624277  arm64_sme_trap_za pass
 2383 10:56:33.624606  arm64_sme_vl skip
 2384 10:56:33.624763  arm64_ssve_regs skip
 2385 10:56:33.629612  arm64_ssve_za_regs skip
 2386 10:56:33.629949  arm64_sve_regs skip
 2387 10:56:33.630112  arm64_sve_vl skip
 2388 10:56:33.635321  arm64_tpidr2_restore skip
 2389 10:56:33.635646  arm64_tpidr2_siginfo pass
 2390 10:56:33.635803  arm64_za_no_regs skip
 2391 10:56:33.640813  arm64_za_regs skip
 2392 10:56:33.641203  arm64_zt_no_regs skip
 2393 10:56:33.641362  arm64_zt_regs skip
 2394 10:56:33.646334  arm64_pac_global_corrupt_pac_PAUTH_not_enabled skip
 2395 10:56:33.651756  arm64_pac_global_pac_instructions_not_nop_PAUTH_not_enabled skip
 2396 10:56:33.662575  arm64_pac_global_pac_instructions_not_nop_generic_Generic_PAUTH_not_enabled skip
 2397 10:56:33.668303  arm64_pac_global_single_thread_different_keys_PAUTH_not_enabled skip
 2398 10:56:33.673934  arm64_pac_global_exec_changed_keys_PAUTH_not_enabled skip
 2399 10:56:33.679353  arm64_pac_global_context_switch_keep_keys_PAUTH_not_enabled skip
 2400 10:56:33.684951  arm64_pac_global_context_switch_keep_keys_generic_Generic_PAUTH_not_enabled skip
 2401 10:56:33.685348  arm64_pac pass
 2402 10:56:33.690478  arm64_fp-ptrace_No_writes pass
 2403 10:56:33.690802  arm64_fp-ptrace_FPSIMD_write pass
 2404 10:56:33.695672  arm64_fp-ptrace pass
 2405 10:56:33.695973  arm64_fp-stress_FPSIMD-0-0 pass
 2406 10:56:33.701448  arm64_fp-stress_KERNEL-0-0 pass
 2407 10:56:33.701752  arm64_fp-stress_FPSIMD-1-0 pass
 2408 10:56:33.706835  arm64_fp-stress_KERNEL-1-0 pass
 2409 10:56:33.707220  arm64_fp-stress_FPSIMD-2-0 pass
 2410 10:56:33.712605  arm64_fp-stress_KERNEL-2-0 pass
 2411 10:56:33.712992  arm64_fp-stress_FPSIMD-3-0 pass
 2412 10:56:33.717904  arm64_fp-stress_KERNEL-3-0 pass
 2413 10:56:33.718204  arm64_fp-stress pass
 2414 10:56:33.723664  arm64_sve-ptrace_SVE_not_available skip
 2415 10:56:33.724048  arm64_sve-ptrace skip
 2416 10:56:33.729124  arm64_sve-probe-vls_SVE_not_available skip
 2417 10:56:33.729507  arm64_sve-probe-vls skip
 2418 10:56:33.734456  arm64_vec-syscfg_SVE_not_supported skip
 2419 10:56:33.734837  arm64_vec-syscfg_SVE_not_supported_dup2 skip
 2420 10:56:33.740214  arm64_vec-syscfg_SVE_not_supported_dup3 skip
 2421 10:56:33.745672  arm64_vec-syscfg_SVE_not_supported_dup4 skip
 2422 10:56:33.751209  arm64_vec-syscfg_SVE_not_supported_dup5 skip
 2423 10:56:33.751597  arm64_vec-syscfg_SVE_not_supported_dup6 skip
 2424 10:56:33.756680  arm64_vec-syscfg_SVE_not_supported_dup7 skip
 2425 10:56:33.762336  arm64_vec-syscfg_SVE_not_supported_dup8 skip
 2426 10:56:33.767792  arm64_vec-syscfg_SVE_not_supported_dup9 skip
 2427 10:56:33.768100  arm64_vec-syscfg_SVE_not_supported_dup10 skip
 2428 10:56:33.773120  arm64_vec-syscfg_SME_not_supported skip
 2429 10:56:33.778807  arm64_vec-syscfg_SME_not_supported_dup2 skip
 2430 10:56:33.779195  arm64_vec-syscfg_SME_not_supported_dup3 skip
 2431 10:56:33.784061  arm64_vec-syscfg_SME_not_supported_dup4 skip
 2432 10:56:33.789729  arm64_vec-syscfg_SME_not_supported_dup5 skip
 2433 10:56:33.790047  arm64_vec-syscfg_SME_not_supported_dup6 skip
 2434 10:56:33.795401  arm64_vec-syscfg_SME_not_supported_dup7 skip
 2435 10:56:33.801056  arm64_vec-syscfg_SME_not_supported_dup8 skip
 2436 10:56:33.806399  arm64_vec-syscfg_SME_not_supported_dup9 skip
 2437 10:56:33.806789  arm64_vec-syscfg_SME_not_supported_dup10 skip
 2438 10:56:33.811865  arm64_vec-syscfg_change_sve_with_za skip
 2439 10:56:33.812171  arm64_vec-syscfg pass
 2440 10:56:33.817462  arm64_za-fork_fork_test skip
 2441 10:56:33.817882  arm64_za-fork pass
 2442 10:56:33.823007  arm64_za-ptrace_SME_not_available skip
 2443 10:56:33.823400  arm64_za-ptrace skip
 2444 10:56:33.828476  arm64_check_buffer_fill skip
 2445 10:56:33.828866  arm64_check_child_memory skip
 2446 10:56:33.834059  arm64_check_gcr_el1_cswitch skip
 2447 10:56:33.834451  arm64_check_ksm_options skip
 2448 10:56:33.839501  arm64_check_mmap_options skip
 2449 10:56:33.839891  arm64_check_prctl_check_basic_read pass
 2450 10:56:33.845139  arm64_check_prctl_NONE pass
 2451 10:56:33.845527  arm64_check_prctl_SYNC skip
 2452 10:56:33.850451  arm64_check_prctl_ASYNC skip
 2453 10:56:33.850839  arm64_check_prctl_SYNC_ASYNC skip
 2454 10:56:33.856089  arm64_check_prctl pass
 2455 10:56:33.856479  arm64_check_tags_inclusion skip
 2456 10:56:33.856640  arm64_check_user_mem skip
 2457 10:56:33.861556  arm64_btitest_nohint_func_call_using_br_x0 pass
 2458 10:56:33.867094  arm64_btitest_nohint_func_call_using_br_x16 pass
 2459 10:56:33.872653  arm64_btitest_nohint_func_call_using_blr pass
 2460 10:56:33.878237  arm64_btitest_bti_none_func_call_using_br_x0 pass
 2461 10:56:33.878629  arm64_btitest_bti_none_func_call_using_br_x16 pass
 2462 10:56:33.883676  arm64_btitest_bti_none_func_call_using_blr pass
 2463 10:56:33.889232  arm64_btitest_bti_c_func_call_using_br_x0 pass
 2464 10:56:33.894531  arm64_btitest_bti_c_func_call_using_br_x16 pass
 2465 10:56:33.894919  arm64_btitest_bti_c_func_call_using_blr pass
 2466 10:56:33.900273  arm64_btitest_bti_j_func_call_using_br_x0 pass
 2467 10:56:33.905754  arm64_btitest_bti_j_func_call_using_br_x16 pass
 2468 10:56:33.911373  arm64_btitest_bti_j_func_call_using_blr pass
 2469 10:56:33.911764  arm64_btitest_bti_jc_func_call_using_br_x0 pass
 2470 10:56:33.916723  arm64_btitest_bti_jc_func_call_using_br_x16 pass
 2471 10:56:33.922375  arm64_btitest_bti_jc_func_call_using_blr pass
 2472 10:56:33.927850  arm64_btitest_paciasp_func_call_using_br_x0 pass
 2473 10:56:33.928178  arm64_btitest_paciasp_func_call_using_br_x16 pass
 2474 10:56:33.933381  arm64_btitest_paciasp_func_call_using_blr pass
 2475 10:56:33.938848  arm64_btitest pass
 2476 10:56:33.939235  arm64_nobtitest_nohint_func_call_using_br_x0 pass
 2477 10:56:33.944420  arm64_nobtitest_nohint_func_call_using_br_x16 pass
 2478 10:56:33.949942  arm64_nobtitest_nohint_func_call_using_blr pass
 2479 10:56:33.955441  arm64_nobtitest_bti_none_func_call_using_br_x0 pass
 2480 10:56:33.960981  arm64_nobtitest_bti_none_func_call_using_br_x16 pass
 2481 10:56:33.961310  arm64_nobtitest_bti_none_func_call_using_blr pass
 2482 10:56:33.966500  arm64_nobtitest_bti_c_func_call_using_br_x0 pass
 2483 10:56:33.972000  arm64_nobtitest_bti_c_func_call_using_br_x16 pass
 2484 10:56:33.977532  arm64_nobtitest_bti_c_func_call_using_blr pass
 2485 10:56:33.977949  arm64_nobtitest_bti_j_func_call_using_br_x0 pass
 2486 10:56:33.983034  arm64_nobtitest_bti_j_func_call_using_br_x16 pass
 2487 10:56:33.988558  arm64_nobtitest_bti_j_func_call_using_blr pass
 2488 10:56:33.994108  arm64_nobtitest_bti_jc_func_call_using_br_x0 pass
 2489 10:56:33.999256  arm64_nobtitest_bti_jc_func_call_using_br_x16 pass
 2490 10:56:33.999547  arm64_nobtitest_bti_jc_func_call_using_blr pass
 2491 10:56:34.004646  arm64_nobtitest_paciasp_func_call_using_br_x0 pass
 2492 10:56:34.010284  arm64_nobtitest_paciasp_func_call_using_br_x16 pass
 2493 10:56:34.016164  arm64_nobtitest_paciasp_func_call_using_blr pass
 2494 10:56:34.016392  arm64_nobtitest pass
 2495 10:56:34.021646  arm64_hwcap_cpuinfo_match_AES pass
 2496 10:56:34.022056  arm64_hwcap_sigill_AES pass
 2497 10:56:34.027245  arm64_hwcap_sigbus_AES skip
 2498 10:56:34.027632  arm64_hwcap_cpuinfo_match_CRC32 pass
 2499 10:56:34.032640  arm64_hwcap_sigill_CRC32 pass
 2500 10:56:34.032979  arm64_hwcap_sigbus_CRC32 skip
 2501 10:56:34.038218  arm64_hwcap_cpuinfo_match_CSSC pass
 2502 10:56:34.038606  arm64_hwcap_sigill_CSSC skip
 2503 10:56:34.043754  arm64_hwcap_sigbus_CSSC skip
 2504 10:56:34.044113  arm64_hwcap_cpuinfo_match_F8CVT pass
 2505 10:56:34.049506  arm64_hwcap_sigill_F8CVT skip
 2506 10:56:34.049934  arm64_hwcap_sigbus_F8CVT skip
 2507 10:56:34.054610  arm64_hwcap_cpuinfo_match_F8DP4 pass
 2508 10:56:34.054997  arm64_hwcap_sigill_F8DP4 skip
 2509 10:56:34.060453  arm64_hwcap_sigbus_F8DP4 skip
 2510 10:56:34.060843  arm64_hwcap_cpuinfo_match_F8DP2 pass
 2511 10:56:34.065889  arm64_hwcap_sigill_F8DP2 skip
 2512 10:56:34.066283  arm64_hwcap_sigbus_F8DP2 skip
 2513 10:56:34.071487  arm64_hwcap_cpuinfo_match_F8E5M2 pass
 2514 10:56:34.071879  arm64_hwcap_sigill_F8E5M2 skip
 2515 10:56:34.076791  arm64_hwcap_sigbus_F8E5M2 skip
 2516 10:56:34.082492  arm64_hwcap_cpuinfo_match_F8E4M3 pass
 2517 10:56:34.082877  arm64_hwcap_sigill_F8E4M3 skip
 2518 10:56:34.087920  arm64_hwcap_sigbus_F8E4M3 skip
 2519 10:56:34.088272  arm64_hwcap_cpuinfo_match_F8FMA pass
 2520 10:56:34.093512  arm64_hwcap_sigill_F8FMA skip
 2521 10:56:34.093925  arm64_hwcap_sigbus_F8FMA skip
 2522 10:56:34.098875  arm64_hwcap_cpuinfo_match_FAMINMAX pass
 2523 10:56:34.099221  arm64_hwcap_sigill_FAMINMAX skip
 2524 10:56:34.104574  arm64_hwcap_sigbus_FAMINMAX skip
 2525 10:56:34.104882  arm64_hwcap_cpuinfo_match_FP pass
 2526 10:56:34.110046  arm64_hwcap_sigill_FP pass
 2527 10:56:34.110436  arm64_hwcap_sigbus_FP skip
 2528 10:56:34.115573  arm64_hwcap_cpuinfo_match_FPMR pass
 2529 10:56:34.115964  arm64_hwcap_sigill_FPMR pass
 2530 10:56:34.120964  arm64_hwcap_sigbus_FPMR skip
 2531 10:56:34.121270  arm64_hwcap_cpuinfo_match_JSCVT pass
 2532 10:56:34.126590  arm64_hwcap_sigill_JSCVT skip
 2533 10:56:34.126981  arm64_hwcap_sigbus_JSCVT skip
 2534 10:56:34.132076  arm64_hwcap_cpuinfo_match_LRCPC pass
 2535 10:56:34.132467  arm64_hwcap_sigill_LRCPC skip
 2536 10:56:34.137693  arm64_hwcap_sigbus_LRCPC skip
 2537 10:56:34.143133  arm64_hwcap_cpuinfo_match_LRCPC2 pass
 2538 10:56:34.143526  arm64_hwcap_sigill_LRCPC2 skip
 2539 10:56:34.148649  arm64_hwcap_sigbus_LRCPC2 skip
 2540 10:56:34.149040  arm64_hwcap_cpuinfo_match_LRCPC3 pass
 2541 10:56:34.154168  arm64_hwcap_sigill_LRCPC3 skip
 2542 10:56:34.154555  arm64_hwcap_sigbus_LRCPC3 skip
 2543 10:56:34.159675  arm64_hwcap_cpuinfo_match_LSE pass
 2544 10:56:34.160066  arm64_hwcap_sigill_LSE skip
 2545 10:56:34.165197  arm64_hwcap_sigbus_LSE skip
 2546 10:56:34.165554  arm64_hwcap_cpuinfo_match_LSE2 pass
 2547 10:56:34.170489  arm64_hwcap_sigill_LSE2 skip
 2548 10:56:34.170803  arm64_hwcap_sigbus_LSE2 skip
 2549 10:56:34.176275  arm64_hwcap_cpuinfo_match_LSE128 pass
 2550 10:56:34.176662  arm64_hwcap_sigill_LSE128 skip
 2551 10:56:34.181807  arm64_hwcap_sigbus_LSE128 skip
 2552 10:56:34.182200  arm64_hwcap_cpuinfo_match_LUT pass
 2553 10:56:34.187412  arm64_hwcap_sigill_LUT skip
 2554 10:56:34.187717  arm64_hwcap_sigbus_LUT skip
 2555 10:56:34.192770  arm64_hwcap_cpuinfo_match_MOPS pass
 2556 10:56:34.193074  arm64_hwcap_sigill_MOPS pass
 2557 10:56:34.198463  arm64_hwcap_sigbus_MOPS skip
 2558 10:56:34.198788  arm64_hwcap_cpuinfo_match_PMULL pass
 2559 10:56:34.203813  arm64_hwcap_sigill_PMULL pass
 2560 10:56:34.204117  arm64_hwcap_sigbus_PMULL skip
 2561 10:56:34.209423  arm64_hwcap_cpuinfo_match_POE pass
 2562 10:56:34.209731  arm64_hwcap_sigill_POE pass
 2563 10:56:34.214949  arm64_hwcap_sigbus_POE skip
 2564 10:56:34.215262  arm64_hwcap_cpuinfo_match_RNG pass
 2565 10:56:34.220369  arm64_hwcap_sigill_RNG skip
 2566 10:56:34.220674  arm64_hwcap_sigbus_RNG skip
 2567 10:56:34.226014  arm64_hwcap_cpuinfo_match_RPRFM pass
 2568 10:56:34.226405  arm64_hwcap_sigill_RPRFM skip
 2569 10:56:34.231546  arm64_hwcap_sigbus_RPRFM skip
 2570 10:56:34.231859  arm64_hwcap_cpuinfo_match_SHA1 pass
 2571 10:56:34.236970  arm64_hwcap_sigill_SHA1 pass
 2572 10:56:34.237298  arm64_hwcap_sigbus_SHA1 skip
 2573 10:56:34.242550  arm64_hwcap_cpuinfo_match_SHA2 pass
 2574 10:56:34.242877  arm64_hwcap_sigill_SHA2 pass
 2575 10:56:34.247985  arm64_hwcap_sigbus_SHA2 skip
 2576 10:56:34.248290  arm64_hwcap_cpuinfo_match_SHA512 pass
 2577 10:56:34.253609  arm64_hwcap_sigill_SHA512 skip
 2578 10:56:34.254000  arm64_hwcap_sigbus_SHA512 skip
 2579 10:56:34.259133  arm64_hwcap_cpuinfo_match_SME pass
 2580 10:56:34.259519  arm64_hwcap_sigill_SME pass
 2581 10:56:34.264652  arm64_hwcap_sigbus_SME skip
 2582 10:56:34.265041  arm64_hwcap_cpuinfo_match_SME2 pass
 2583 10:56:34.270173  arm64_hwcap_sigill_SME2 pass
 2584 10:56:34.270562  arm64_hwcap_sigbus_SME2 skip
 2585 10:56:34.275768  arm64_hwcap_cpuinfo_match_SME_2_1 pass
 2586 10:56:34.281235  arm64_hwcap_sigill_SME_2_1 skip
 2587 10:56:34.281623  arm64_hwcap_sigbus_SME_2_1 skip
 2588 10:56:34.286503  arm64_hwcap_cpuinfo_match_SME_I16I32 pass
 2589 10:56:34.286891  arm64_hwcap_sigill_SME_I16I32 skip
 2590 10:56:34.292089  arm64_hwcap_sigbus_SME_I16I32 skip
 2591 10:56:34.297701  arm64_hwcap_cpuinfo_match_SME_BI32I32 pass
 2592 10:56:34.298130  arm64_hwcap_sigill_SME_BI32I32 skip
 2593 10:56:34.303212  arm64_hwcap_sigbus_SME_BI32I32 skip
 2594 10:56:34.303603  arm64_hwcap_cpuinfo_match_SME_B16B16 pass
 2595 10:56:34.308680  arm64_hwcap_sigill_SME_B16B16 skip
 2596 10:56:34.314286  arm64_hwcap_sigbus_SME_B16B16 skip
 2597 10:56:34.314682  arm64_hwcap_cpuinfo_match_SME_F16F16 pass
 2598 10:56:34.319684  arm64_hwcap_sigill_SME_F16F16 skip
 2599 10:56:34.319989  arm64_hwcap_sigbus_SME_F16F16 skip
 2600 10:56:34.325287  arm64_hwcap_cpuinfo_match_SME_F8F16 pass
 2601 10:56:34.330605  arm64_hwcap_sigill_SME_F8F16 skip
 2602 10:56:34.330993  arm64_hwcap_sigbus_SME_F8F16 skip
 2603 10:56:34.336343  arm64_hwcap_cpuinfo_match_SME_F8F32 pass
 2604 10:56:34.336650  arm64_hwcap_sigill_SME_F8F32 skip
 2605 10:56:34.341882  arm64_hwcap_sigbus_SME_F8F32 skip
 2606 10:56:34.342275  arm64_hwcap_cpuinfo_match_SME_LUTV2 pass
 2607 10:56:34.347430  arm64_hwcap_sigill_SME_LUTV2 skip
 2608 10:56:34.353101  arm64_hwcap_sigbus_SME_LUTV2 skip
 2609 10:56:34.353492  arm64_hwcap_cpuinfo_match_SME_SF8FMA pass
 2610 10:56:34.358413  arm64_hwcap_sigill_SME_SF8FMA skip
 2611 10:56:34.358800  arm64_hwcap_sigbus_SME_SF8FMA skip
 2612 10:56:34.364046  arm64_hwcap_cpuinfo_match_SME_SF8DP2 pass
 2613 10:56:34.369482  arm64_hwcap_sigill_SME_SF8DP2 skip
 2614 10:56:34.369903  arm64_hwcap_sigbus_SME_SF8DP2 skip
 2615 10:56:34.375062  arm64_hwcap_cpuinfo_match_SME_SF8DP4 pass
 2616 10:56:34.375454  arm64_hwcap_sigill_SME_SF8DP4 skip
 2617 10:56:34.380397  arm64_hwcap_sigbus_SME_SF8DP4 skip
 2618 10:56:34.386105  arm64_hwcap_cpuinfo_match_SVE pass
 2619 10:56:34.386494  arm64_hwcap_sigill_SVE pass
 2620 10:56:34.386654  arm64_hwcap_sigbus_SVE skip
 2621 10:56:34.391544  arm64_hwcap_cpuinfo_match_SVE_2 pass
 2622 10:56:34.391873  arm64_hwcap_sigill_SVE_2 skip
 2623 10:56:34.397105  arm64_hwcap_sigbus_SVE_2 skip
 2624 10:56:34.402473  arm64_hwcap_cpuinfo_match_SVE_2_1 pass
 2625 10:56:34.402863  arm64_hwcap_sigill_SVE_2_1 skip
 2626 10:56:34.408147  arm64_hwcap_sigbus_SVE_2_1 skip
 2627 10:56:34.408452  arm64_hwcap_cpuinfo_match_SVE_AES pass
 2628 10:56:34.413576  arm64_hwcap_sigill_SVE_AES skip
 2629 10:56:34.413996  arm64_hwcap_sigbus_SVE_AES skip
 2630 10:56:34.419205  arm64_hwcap_cpuinfo_match_SVE2_B16B16 pass
 2631 10:56:34.424646  arm64_hwcap_sigill_SVE2_B16B16 skip
 2632 10:56:34.425036  arm64_hwcap_sigbus_SVE2_B16B16 skip
 2633 10:56:34.430196  arm64_hwcap_cpuinfo_match_SVE2_PMULL pass
 2634 10:56:34.430584  arm64_hwcap_sigill_SVE2_PMULL skip
 2635 10:56:34.435696  arm64_hwcap_sigbus_SVE2_PMULL skip
 2636 10:56:34.441218  arm64_hwcap_cpuinfo_match_SVE2_BITPERM pass
 2637 10:56:34.441607  arm64_hwcap_sigill_SVE2_BITPERM skip
 2638 10:56:34.446534  arm64_hwcap_sigbus_SVE2_BITPERM skip
 2639 10:56:34.446922  arm64_hwcap_cpuinfo_match_SVE2_SHA3 pass
 2640 10:56:34.452240  arm64_hwcap_sigill_SVE2_SHA3 skip
 2641 10:56:34.457762  arm64_hwcap_sigbus_SVE2_SHA3 skip
 2642 10:56:34.458178  arm64_hwcap_cpuinfo_match_SVE2_SM4 pass
 2643 10:56:34.463313  arm64_hwcap_sigill_SVE2_SM4 skip
 2644 10:56:34.463699  arm64_hwcap_sigbus_SVE2_SM4 skip
 2645 10:56:34.468767  arm64_hwcap_cpuinfo_match_SVE2_I8MM pass
 2646 10:56:34.469124  arm64_hwcap_sigill_SVE2_I8MM skip
 2647 10:56:34.474448  arm64_hwcap_sigbus_SVE2_I8MM skip
 2648 10:56:34.479828  arm64_hwcap_cpuinfo_match_SVE2_F32MM pass
 2649 10:56:34.480155  arm64_hwcap_sigill_SVE2_F32MM skip
 2650 10:56:34.485437  arm64_hwcap_sigbus_SVE2_F32MM skip
 2651 10:56:34.485851  arm64_hwcap_cpuinfo_match_SVE2_F64MM pass
 2652 10:56:34.490890  arm64_hwcap_sigill_SVE2_F64MM skip
 2653 10:56:34.496446  arm64_hwcap_sigbus_SVE2_F64MM skip
 2654 10:56:34.496836  arm64_hwcap_cpuinfo_match_SVE2_BF16 pass
 2655 10:56:34.501958  arm64_hwcap_sigill_SVE2_BF16 skip
 2656 10:56:34.502349  arm64_hwcap_sigbus_SVE2_BF16 skip
 2657 10:56:34.507449  arm64_hwcap_cpuinfo_match_SVE2_EBF16 pass
 2658 10:56:34.512903  arm64_hwcap_sigill_SVE2_EBF16 skip
 2659 10:56:34.513266  arm64_hwcap_sigbus_SVE2_EBF16 skip
 2660 10:56:34.518468  arm64_hwcap_cpuinfo_match_HBC pass
 2661 10:56:34.518862  arm64_hwcap_sigill_HBC pass
 2662 10:56:34.524006  arm64_hwcap_sigbus_HBC skip
 2663 10:56:34.524332  arm64_hwcap pass
 2664 10:56:34.524489  arm64_ptrace_read_tpidr_one pass
 2665 10:56:34.529524  arm64_ptrace_write_tpidr_one pass
 2666 10:56:34.535068  arm64_ptrace_verify_tpidr_one pass
 2667 10:56:34.535396  arm64_ptrace_count_tpidrs pass
 2668 10:56:34.540497  arm64_ptrace_tpidr2_write pass
 2669 10:56:34.540815  arm64_ptrace_tpidr2_read pass
 2670 10:56:34.545851  arm64_ptrace_write_tpidr_only pass
 2671 10:56:34.546185  arm64_ptrace_read_NT_ARM_HW_WATCH pass
 2672 10:56:34.551258  arm64_ptrace_NT_ARM_HW_WATCH_arch_set pass
 2673 10:56:34.557035  arm64_ptrace_read_NT_ARM_HW_BREAK pass
 2674 10:56:34.557343  arm64_ptrace_NT_ARM_HW_BREAK_arch_set pass
 2675 10:56:34.562567  arm64_ptrace pass
 2676 10:56:34.562871  arm64_syscall-abi_getpid_FPSIMD pass
 2677 10:56:34.568145  arm64_syscall-abi_sched_yield_FPSIMD pass
 2678 10:56:34.568451  arm64_syscall-abi pass
 2679 10:56:34.573377  arm64_tpidr2_default_value skip
 2680 10:56:34.573684  arm64_tpidr2_write_read skip
 2681 10:56:34.578877  arm64_tpidr2_write_sleep_read skip
 2682 10:56:34.579182  arm64_tpidr2_write_fork_read skip
 2683 10:56:34.584653  arm64_tpidr2_write_clone_read skip
 2684 10:56:34.584958  arm64_tpidr2 pass
 2685 10:56:34.589839  + ../../utils/send-to-lava.sh ./output/result.txt
 2686 10:56:34.716725  Received signal: <TESTCASE> TEST_CASE_ID=shardfile-arm64 RESULT=pass
 2688 10:56:34.719622  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=shardfile-arm64 RESULT=pass>
 2689 10:56:34.823049  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tags_test_Syscall_successful_with_tagged_address RESULT=pass>
 2690 10:56:34.823652  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tags_test_Syscall_successful_with_tagged_address RESULT=pass
 2692 10:56:34.913264  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tags_test RESULT=pass
 2694 10:56:34.916238  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tags_test RESULT=pass>
 2695 10:56:35.021172  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_bad_magic RESULT=pass>
 2696 10:56:35.021701  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_bad_magic RESULT=pass
 2698 10:56:35.122978  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_bad_size RESULT=pass>
 2699 10:56:35.123517  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_bad_size RESULT=pass
 2701 10:56:35.223047  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_bad_size_for_magic0 RESULT=pass>
 2702 10:56:35.223567  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_bad_size_for_magic0 RESULT=pass
 2704 10:56:35.320674  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_duplicated_fpsimd RESULT=pass>
 2705 10:56:35.321210  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_duplicated_fpsimd RESULT=pass
 2707 10:56:35.420099  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_misaligned_sp RESULT=pass>
 2708 10:56:35.420619  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_misaligned_sp RESULT=pass
 2710 10:56:35.520372  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_missing_fpsimd RESULT=pass>
 2711 10:56:35.520910  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_missing_fpsimd RESULT=pass
 2713 10:56:35.621379  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_sme_change_vl RESULT=skip>
 2714 10:56:35.621912  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_sme_change_vl RESULT=skip
 2716 10:56:35.721483  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fake_sigreturn_sve_change_vl RESULT=skip>
 2717 10:56:35.722118  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fake_sigreturn_sve_change_vl RESULT=skip
 2719 10:56:35.816448  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fpmr_siginfo RESULT=pass>
 2720 10:56:35.816969  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fpmr_siginfo RESULT=pass
 2722 10:56:35.920528  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_compat_toggle RESULT=pass>
 2723 10:56:35.921138  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_compat_toggle RESULT=pass
 2725 10:56:36.021265  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_daif_bits RESULT=pass>
 2726 10:56:36.021812  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_daif_bits RESULT=pass
 2728 10:56:36.118304  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el1h RESULT=pass>
 2729 10:56:36.118855  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el1h RESULT=pass
 2731 10:56:36.215810  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el1t RESULT=pass>
 2732 10:56:36.216347  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el1t RESULT=pass
 2734 10:56:36.310705  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el2h RESULT=pass>
 2735 10:56:36.311234  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el2h RESULT=pass
 2737 10:56:36.406704  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el2t RESULT=pass>
 2738 10:56:36.407229  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el2t RESULT=pass
 2740 10:56:36.507721  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el3h RESULT=pass>
 2741 10:56:36.508245  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el3h RESULT=pass
 2743 10:56:36.604201  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el3t RESULT=pass>
 2744 10:56:36.604725  Received signal: <TESTCASE> TEST_CASE_ID=arm64_mangle_pstate_invalid_mode_el3t RESULT=pass
 2746 10:56:36.698148  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_poe_siginfo RESULT=pass>
 2747 10:56:36.698672  Received signal: <TESTCASE> TEST_CASE_ID=arm64_poe_siginfo RESULT=pass
 2749 10:56:36.796041  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sme_trap_no_sm RESULT=skip>
 2750 10:56:36.796559  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sme_trap_no_sm RESULT=skip
 2752 10:56:36.896856  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sme_trap_non_streaming RESULT=skip>
 2753 10:56:36.897390  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sme_trap_non_streaming RESULT=skip
 2755 10:56:36.994359  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sme_trap_za RESULT=pass>
 2756 10:56:36.994884  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sme_trap_za RESULT=pass
 2758 10:56:37.094828  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sme_vl RESULT=skip>
 2759 10:56:37.095347  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sme_vl RESULT=skip
 2761 10:56:37.191562  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ssve_regs RESULT=skip
 2763 10:56:37.194117  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ssve_regs RESULT=skip>
 2764 10:56:37.288204  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ssve_za_regs RESULT=skip>
 2765 10:56:37.288726  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ssve_za_regs RESULT=skip
 2767 10:56:37.385321  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve_regs RESULT=skip>
 2768 10:56:37.385860  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve_regs RESULT=skip
 2770 10:56:37.486881  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve_vl RESULT=skip>
 2771 10:56:37.487406  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve_vl RESULT=skip
 2773 10:56:37.589077  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_restore RESULT=skip>
 2774 10:56:37.589616  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_restore RESULT=skip
 2776 10:56:37.687760  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_siginfo RESULT=pass>
 2777 10:56:37.688283  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_siginfo RESULT=pass
 2779 10:56:37.784667  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za_no_regs RESULT=skip
 2781 10:56:37.787633  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za_no_regs RESULT=skip>
 2782 10:56:37.886307  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za_regs RESULT=skip>
 2783 10:56:37.886848  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za_regs RESULT=skip
 2785 10:56:37.979401  Received signal: <TESTCASE> TEST_CASE_ID=arm64_zt_no_regs RESULT=skip
 2787 10:56:37.982092  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_zt_no_regs RESULT=skip>
 2788 10:56:38.078584  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_zt_regs RESULT=skip>
 2789 10:56:38.079108  Received signal: <TESTCASE> TEST_CASE_ID=arm64_zt_regs RESULT=skip
 2791 10:56:38.177068  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_corrupt_pac_PAUTH_not_enabled RESULT=skip>
 2792 10:56:38.177611  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_corrupt_pac_PAUTH_not_enabled RESULT=skip
 2794 10:56:38.273880  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_pac_instructions_not_nop_PAUTH_not_enabled RESULT=skip>
 2795 10:56:38.274411  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_pac_instructions_not_nop_PAUTH_not_enabled RESULT=skip
 2797 10:56:38.372782  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_pac_instructions_not_nop_generic_Generic_PAUTH_not_enabled RESULT=skip>
 2798 10:56:38.373312  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_pac_instructions_not_nop_generic_Generic_PAUTH_not_enabled RESULT=skip
 2800 10:56:38.472339  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_single_thread_different_keys_PAUTH_not_enabled RESULT=skip>
 2801 10:56:38.472857  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_single_thread_different_keys_PAUTH_not_enabled RESULT=skip
 2803 10:56:38.576125  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_exec_changed_keys_PAUTH_not_enabled RESULT=skip>
 2804 10:56:38.576642  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_exec_changed_keys_PAUTH_not_enabled RESULT=skip
 2806 10:56:38.679625  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_context_switch_keep_keys_PAUTH_not_enabled RESULT=skip>
 2807 10:56:38.680149  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_context_switch_keep_keys_PAUTH_not_enabled RESULT=skip
 2809 10:56:38.781032  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac_global_context_switch_keep_keys_generic_Generic_PAUTH_not_enabled RESULT=skip>
 2810 10:56:38.781548  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac_global_context_switch_keep_keys_generic_Generic_PAUTH_not_enabled RESULT=skip
 2812 10:56:38.876607  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_pac RESULT=pass>
 2813 10:56:38.877141  Received signal: <TESTCASE> TEST_CASE_ID=arm64_pac RESULT=pass
 2815 10:56:38.981209  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-ptrace_No_writes RESULT=pass>
 2816 10:56:38.981729  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-ptrace_No_writes RESULT=pass
 2818 10:56:39.077468  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-ptrace_FPSIMD_write RESULT=pass>
 2819 10:56:39.078060  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-ptrace_FPSIMD_write RESULT=pass
 2821 10:56:39.172544  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-ptrace RESULT=pass
 2823 10:56:39.175343  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-ptrace RESULT=pass>
 2824 10:56:39.275530  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_FPSIMD-0-0 RESULT=pass>
 2825 10:56:39.276053  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_FPSIMD-0-0 RESULT=pass
 2827 10:56:39.375527  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_KERNEL-0-0 RESULT=pass>
 2828 10:56:39.376047  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_KERNEL-0-0 RESULT=pass
 2830 10:56:39.473470  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_FPSIMD-1-0 RESULT=pass>
 2831 10:56:39.473996  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_FPSIMD-1-0 RESULT=pass
 2833 10:56:39.576188  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_KERNEL-1-0 RESULT=pass>
 2834 10:56:39.576792  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_KERNEL-1-0 RESULT=pass
 2836 10:56:39.676777  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_FPSIMD-2-0 RESULT=pass>
 2837 10:56:39.677320  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_FPSIMD-2-0 RESULT=pass
 2839 10:56:39.773467  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_KERNEL-2-0 RESULT=pass>
 2840 10:56:39.774136  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_KERNEL-2-0 RESULT=pass
 2842 10:56:39.871570  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_FPSIMD-3-0 RESULT=pass>
 2843 10:56:39.872122  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_FPSIMD-3-0 RESULT=pass
 2845 10:56:39.969685  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress_KERNEL-3-0 RESULT=pass>
 2846 10:56:39.970237  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress_KERNEL-3-0 RESULT=pass
 2848 10:56:40.065142  Received signal: <TESTCASE> TEST_CASE_ID=arm64_fp-stress RESULT=pass
 2850 10:56:40.068216  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_fp-stress RESULT=pass>
 2851 10:56:40.170516  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve-ptrace_SVE_not_available RESULT=skip>
 2852 10:56:40.171084  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve-ptrace_SVE_not_available RESULT=skip
 2854 10:56:40.265639  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve-ptrace RESULT=skip
 2856 10:56:40.268500  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve-ptrace RESULT=skip>
 2857 10:56:40.372416  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve-probe-vls_SVE_not_available RESULT=skip>
 2858 10:56:40.372943  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve-probe-vls_SVE_not_available RESULT=skip
 2860 10:56:40.471571  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_sve-probe-vls RESULT=skip>
 2861 10:56:40.472111  Received signal: <TESTCASE> TEST_CASE_ID=arm64_sve-probe-vls RESULT=skip
 2863 10:56:40.573607  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported RESULT=skip>
 2864 10:56:40.574262  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported RESULT=skip
 2866 10:56:40.674196  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup2 RESULT=skip>
 2867 10:56:40.674814  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup2 RESULT=skip
 2869 10:56:40.774508  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup3 RESULT=skip>
 2870 10:56:40.775109  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup3 RESULT=skip
 2872 10:56:40.874330  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup4 RESULT=skip>
 2873 10:56:40.874956  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup4 RESULT=skip
 2875 10:56:40.973804  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup5 RESULT=skip>
 2876 10:56:40.974424  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup5 RESULT=skip
 2878 10:56:41.072201  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup6 RESULT=skip>
 2879 10:56:41.072728  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup6 RESULT=skip
 2881 10:56:41.170086  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup7 RESULT=skip>
 2882 10:56:41.170716  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup7 RESULT=skip
 2884 10:56:41.268408  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup8 RESULT=skip>
 2885 10:56:41.268996  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup8 RESULT=skip
 2887 10:56:41.364499  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup9 RESULT=skip>
 2888 10:56:41.365024  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup9 RESULT=skip
 2890 10:56:41.462929  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup10 RESULT=skip>
 2891 10:56:41.463510  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SVE_not_supported_dup10 RESULT=skip
 2893 10:56:41.560553  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported RESULT=skip>
 2894 10:56:41.561116  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported RESULT=skip
 2896 10:56:41.660142  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup2 RESULT=skip>
 2897 10:56:41.660669  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup2 RESULT=skip
 2899 10:56:41.759104  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup3 RESULT=skip>
 2900 10:56:41.759627  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup3 RESULT=skip
 2902 10:56:41.859943  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup4 RESULT=skip>
 2903 10:56:41.860522  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup4 RESULT=skip
 2905 10:56:41.957816  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup5 RESULT=skip>
 2906 10:56:41.958401  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup5 RESULT=skip
 2908 10:56:42.055982  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup6 RESULT=skip>
 2909 10:56:42.056501  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup6 RESULT=skip
 2911 10:56:42.156440  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup7 RESULT=skip>
 2912 10:56:42.156963  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup7 RESULT=skip
 2914 10:56:42.256590  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup8 RESULT=skip>
 2915 10:56:42.257129  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup8 RESULT=skip
 2917 10:56:42.360735  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup9 RESULT=skip>
 2918 10:56:42.361251  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup9 RESULT=skip
 2920 10:56:42.461751  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup10 RESULT=skip>
 2921 10:56:42.462365  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_SME_not_supported_dup10 RESULT=skip
 2923 10:56:42.565765  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg_change_sve_with_za RESULT=skip>
 2924 10:56:42.566409  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg_change_sve_with_za RESULT=skip
 2926 10:56:42.663262  Received signal: <TESTCASE> TEST_CASE_ID=arm64_vec-syscfg RESULT=pass
 2928 10:56:42.666162  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_vec-syscfg RESULT=pass>
 2929 10:56:42.766955  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za-fork_fork_test RESULT=skip>
 2930 10:56:42.767476  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za-fork_fork_test RESULT=skip
 2932 10:56:42.864827  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za-fork RESULT=pass>
 2933 10:56:42.865350  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za-fork RESULT=pass
 2935 10:56:42.963356  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za-ptrace_SME_not_available RESULT=skip>
 2936 10:56:42.963879  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za-ptrace_SME_not_available RESULT=skip
 2938 10:56:43.052691  Received signal: <TESTCASE> TEST_CASE_ID=arm64_za-ptrace RESULT=skip
 2940 10:56:43.055872  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_za-ptrace RESULT=skip>
 2941 10:56:43.152739  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_buffer_fill RESULT=skip>
 2942 10:56:43.153272  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_buffer_fill RESULT=skip
 2944 10:56:43.250880  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_child_memory RESULT=skip>
 2945 10:56:43.251403  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_child_memory RESULT=skip
 2947 10:56:43.348095  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_gcr_el1_cswitch RESULT=skip>
 2948 10:56:43.348620  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_gcr_el1_cswitch RESULT=skip
 2950 10:56:43.442553  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_ksm_options RESULT=skip>
 2951 10:56:43.443074  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_ksm_options RESULT=skip
 2953 10:56:43.540699  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_mmap_options RESULT=skip>
 2954 10:56:43.541229  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_mmap_options RESULT=skip
 2956 10:56:43.637946  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl_check_basic_read RESULT=pass>
 2957 10:56:43.638526  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl_check_basic_read RESULT=pass
 2959 10:56:43.735900  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl_NONE RESULT=pass>
 2960 10:56:43.736416  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl_NONE RESULT=pass
 2962 10:56:43.834676  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl_SYNC RESULT=skip>
 2963 10:56:43.835200  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl_SYNC RESULT=skip
 2965 10:56:43.929226  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl_ASYNC RESULT=skip>
 2966 10:56:43.929747  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl_ASYNC RESULT=skip
 2968 10:56:44.025642  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl_SYNC_ASYNC RESULT=skip>
 2969 10:56:44.026194  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl_SYNC_ASYNC RESULT=skip
 2971 10:56:44.120398  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_prctl RESULT=pass>
 2972 10:56:44.121020  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_prctl RESULT=pass
 2974 10:56:44.213978  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_tags_inclusion RESULT=skip>
 2975 10:56:44.214616  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_tags_inclusion RESULT=skip
 2977 10:56:44.306965  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_check_user_mem RESULT=skip>
 2978 10:56:44.307542  Received signal: <TESTCASE> TEST_CASE_ID=arm64_check_user_mem RESULT=skip
 2980 10:56:44.400589  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_nohint_func_call_using_br_x0 RESULT=pass>
 2981 10:56:44.401191  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_nohint_func_call_using_br_x0 RESULT=pass
 2983 10:56:44.495069  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_nohint_func_call_using_br_x16 RESULT=pass>
 2984 10:56:44.495612  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_nohint_func_call_using_br_x16 RESULT=pass
 2986 10:56:44.595402  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_nohint_func_call_using_blr RESULT=pass>
 2987 10:56:44.595942  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_nohint_func_call_using_blr RESULT=pass
 2989 10:56:44.694925  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_br_x0 RESULT=pass>
 2990 10:56:44.695447  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_br_x0 RESULT=pass
 2992 10:56:44.793084  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_br_x16 RESULT=pass>
 2993 10:56:44.793609  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_br_x16 RESULT=pass
 2995 10:56:44.891372  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_blr RESULT=pass>
 2996 10:56:44.891893  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_none_func_call_using_blr RESULT=pass
 2998 10:56:44.990851  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_br_x0 RESULT=pass>
 2999 10:56:44.991391  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_br_x0 RESULT=pass
 3001 10:56:45.090212  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_br_x16 RESULT=pass>
 3002 10:56:45.090750  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_br_x16 RESULT=pass
 3004 10:56:45.189455  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_blr RESULT=pass>
 3005 10:56:45.189976  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_c_func_call_using_blr RESULT=pass
 3007 10:56:45.289648  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_br_x0 RESULT=pass>
 3008 10:56:45.290202  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_br_x0 RESULT=pass
 3010 10:56:45.386990  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_br_x16 RESULT=pass>
 3011 10:56:45.387513  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_br_x16 RESULT=pass
 3013 10:56:45.485488  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_blr RESULT=pass>
 3014 10:56:45.486085  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_j_func_call_using_blr RESULT=pass
 3016 10:56:45.583134  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_br_x0 RESULT=pass>
 3017 10:56:45.583678  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_br_x0 RESULT=pass
 3019 10:56:45.680190  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_br_x16 RESULT=pass>
 3020 10:56:45.680717  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_br_x16 RESULT=pass
 3022 10:56:45.782023  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_blr RESULT=pass>
 3023 10:56:45.782618  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_bti_jc_func_call_using_blr RESULT=pass
 3025 10:56:45.879758  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_br_x0 RESULT=pass>
 3026 10:56:45.880299  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_br_x0 RESULT=pass
 3028 10:56:45.977404  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_br_x16 RESULT=pass>
 3029 10:56:45.977974  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_br_x16 RESULT=pass
 3031 10:56:46.076895  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_blr RESULT=pass>
 3032 10:56:46.077432  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest_paciasp_func_call_using_blr RESULT=pass
 3034 10:56:46.173574  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_btitest RESULT=pass>
 3035 10:56:46.174119  Received signal: <TESTCASE> TEST_CASE_ID=arm64_btitest RESULT=pass
 3037 10:56:46.274673  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_br_x0 RESULT=pass>
 3038 10:56:46.275270  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_br_x0 RESULT=pass
 3040 10:56:46.375685  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_br_x16 RESULT=pass>
 3041 10:56:46.376217  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_br_x16 RESULT=pass
 3043 10:56:46.472405  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_blr RESULT=pass>
 3044 10:56:46.472885  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_nohint_func_call_using_blr RESULT=pass
 3046 10:56:46.574891  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_br_x0 RESULT=pass>
 3047 10:56:46.575447  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_br_x0 RESULT=pass
 3049 10:56:46.672792  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_br_x16 RESULT=pass>
 3050 10:56:46.673334  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_br_x16 RESULT=pass
 3052 10:56:46.772352  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_blr RESULT=pass>
 3053 10:56:46.772805  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_none_func_call_using_blr RESULT=pass
 3055 10:56:46.867375  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_br_x0 RESULT=pass>
 3056 10:56:46.867917  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_br_x0 RESULT=pass
 3058 10:56:46.962864  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_br_x16 RESULT=pass>
 3059 10:56:46.963407  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_br_x16 RESULT=pass
 3061 10:56:47.062087  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_blr RESULT=pass>
 3062 10:56:47.062696  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_c_func_call_using_blr RESULT=pass
 3064 10:56:47.162072  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_br_x0 RESULT=pass>
 3065 10:56:47.162535  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_br_x0 RESULT=pass
 3067 10:56:47.261651  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_br_x16 RESULT=pass>
 3068 10:56:47.262286  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_br_x16 RESULT=pass
 3070 10:56:47.358516  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_blr RESULT=pass>
 3071 10:56:47.359118  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_j_func_call_using_blr RESULT=pass
 3073 10:56:47.461128  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_br_x0 RESULT=pass>
 3074 10:56:47.461651  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_br_x0 RESULT=pass
 3076 10:56:47.559770  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_br_x16 RESULT=pass>
 3077 10:56:47.560288  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_br_x16 RESULT=pass
 3079 10:56:47.657233  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_blr RESULT=pass>
 3080 10:56:47.657843  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_bti_jc_func_call_using_blr RESULT=pass
 3082 10:56:47.761377  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_br_x0 RESULT=pass>
 3083 10:56:47.761935  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_br_x0 RESULT=pass
 3085 10:56:47.864696  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_br_x16 RESULT=pass>
 3086 10:56:47.865219  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_br_x16 RESULT=pass
 3088 10:56:47.966371  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_blr RESULT=pass>
 3089 10:56:47.966931  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest_paciasp_func_call_using_blr RESULT=pass
 3091 10:56:48.064446  Received signal: <TESTCASE> TEST_CASE_ID=arm64_nobtitest RESULT=pass
 3093 10:56:48.067251  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_nobtitest RESULT=pass>
 3094 10:56:48.164256  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_AES RESULT=pass>
 3095 10:56:48.164800  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_AES RESULT=pass
 3097 10:56:48.258433  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_AES RESULT=pass>
 3098 10:56:48.258973  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_AES RESULT=pass
 3100 10:56:48.355160  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_AES RESULT=skip>
 3101 10:56:48.355681  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_AES RESULT=skip
 3103 10:56:48.457848  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_CRC32 RESULT=pass>
 3104 10:56:48.458375  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_CRC32 RESULT=pass
 3106 10:56:48.555434  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_CRC32 RESULT=pass>
 3107 10:56:48.555971  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_CRC32 RESULT=pass
 3109 10:56:48.653229  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_CRC32 RESULT=skip>
 3110 10:56:48.653755  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_CRC32 RESULT=skip
 3112 10:56:48.751670  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_CSSC RESULT=pass>
 3113 10:56:48.752229  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_CSSC RESULT=pass
 3115 10:56:48.848718  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_CSSC RESULT=skip>
 3116 10:56:48.849254  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_CSSC RESULT=skip
 3118 10:56:48.946833  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_CSSC RESULT=skip>
 3119 10:56:48.947378  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_CSSC RESULT=skip
 3121 10:56:49.045619  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8CVT RESULT=pass>
 3122 10:56:49.046255  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8CVT RESULT=pass
 3124 10:56:49.144478  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8CVT RESULT=skip>
 3125 10:56:49.145011  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8CVT RESULT=skip
 3127 10:56:49.243065  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8CVT RESULT=skip>
 3128 10:56:49.243616  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8CVT RESULT=skip
 3130 10:56:49.341809  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8DP4 RESULT=pass>
 3131 10:56:49.342389  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8DP4 RESULT=pass
 3133 10:56:49.440760  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8DP4 RESULT=skip>
 3134 10:56:49.441303  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8DP4 RESULT=skip
 3136 10:56:49.539947  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8DP4 RESULT=skip>
 3137 10:56:49.540478  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8DP4 RESULT=skip
 3139 10:56:49.637153  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8DP2 RESULT=pass>
 3140 10:56:49.637702  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8DP2 RESULT=pass
 3142 10:56:49.736066  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8DP2 RESULT=skip>
 3143 10:56:49.736672  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8DP2 RESULT=skip
 3145 10:56:49.830419  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8DP2 RESULT=skip>
 3146 10:56:49.830945  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8DP2 RESULT=skip
 3148 10:56:49.931473  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8E5M2 RESULT=pass>
 3149 10:56:49.932034  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8E5M2 RESULT=pass
 3151 10:56:50.030031  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8E5M2 RESULT=skip>
 3152 10:56:50.030552  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8E5M2 RESULT=skip
 3154 10:56:50.129198  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8E5M2 RESULT=skip>
 3155 10:56:50.129822  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8E5M2 RESULT=skip
 3157 10:56:50.229929  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8E4M3 RESULT=pass>
 3158 10:56:50.230520  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8E4M3 RESULT=pass
 3160 10:56:50.331938  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8E4M3 RESULT=skip>
 3161 10:56:50.332549  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8E4M3 RESULT=skip
 3163 10:56:50.428732  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8E4M3 RESULT=skip>
 3164 10:56:50.429259  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8E4M3 RESULT=skip
 3166 10:56:50.532097  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8FMA RESULT=pass>
 3167 10:56:50.532658  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_F8FMA RESULT=pass
 3169 10:56:50.631694  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_F8FMA RESULT=skip>
 3170 10:56:50.632224  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_F8FMA RESULT=skip
 3172 10:56:50.732634  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_F8FMA RESULT=skip>
 3173 10:56:50.733165  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_F8FMA RESULT=skip
 3175 10:56:50.834296  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FAMINMAX RESULT=pass>
 3176 10:56:50.834898  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FAMINMAX RESULT=pass
 3178 10:56:50.937020  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_FAMINMAX RESULT=skip>
 3179 10:56:50.937543  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_FAMINMAX RESULT=skip
 3181 10:56:51.041052  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_FAMINMAX RESULT=skip>
 3182 10:56:51.041637  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_FAMINMAX RESULT=skip
 3184 10:56:51.143022  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FP RESULT=pass>
 3185 10:56:51.143542  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FP RESULT=pass
 3187 10:56:51.243619  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_FP RESULT=pass>
 3188 10:56:51.244164  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_FP RESULT=pass
 3190 10:56:51.344040  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_FP RESULT=skip>
 3191 10:56:51.344569  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_FP RESULT=skip
 3193 10:56:51.444927  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FPMR RESULT=pass>
 3194 10:56:51.445521  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_FPMR RESULT=pass
 3196 10:56:51.541112  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_FPMR RESULT=pass>
 3197 10:56:51.541644  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_FPMR RESULT=pass
 3199 10:56:51.639896  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_FPMR RESULT=skip>
 3200 10:56:51.640452  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_FPMR RESULT=skip
 3202 10:56:51.738854  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_JSCVT RESULT=pass>
 3203 10:56:51.739458  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_JSCVT RESULT=pass
 3205 10:56:51.837057  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_JSCVT RESULT=skip>
 3206 10:56:51.837575  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_JSCVT RESULT=skip
 3208 10:56:51.932679  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_JSCVT RESULT=skip>
 3209 10:56:51.933201  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_JSCVT RESULT=skip
 3211 10:56:52.033552  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC RESULT=pass>
 3212 10:56:52.034119  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC RESULT=pass
 3214 10:56:52.132129  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LRCPC RESULT=skip>
 3215 10:56:52.132698  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LRCPC RESULT=skip
 3217 10:56:52.231210  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC RESULT=skip>
 3218 10:56:52.231813  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC RESULT=skip
 3220 10:56:52.333419  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC2 RESULT=pass>
 3221 10:56:52.333974  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC2 RESULT=pass
 3223 10:56:52.432837  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LRCPC2 RESULT=skip>
 3224 10:56:52.433354  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LRCPC2 RESULT=skip
 3226 10:56:52.532734  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC2 RESULT=skip>
 3227 10:56:52.533343  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC2 RESULT=skip
 3229 10:56:52.632462  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC3 RESULT=pass>
 3230 10:56:52.633042  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LRCPC3 RESULT=pass
 3232 10:56:52.732903  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LRCPC3 RESULT=skip>
 3233 10:56:52.733423  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LRCPC3 RESULT=skip
 3235 10:56:52.832545  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC3 RESULT=skip>
 3236 10:56:52.833068  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LRCPC3 RESULT=skip
 3238 10:56:52.929929  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE RESULT=pass>
 3239 10:56:52.930451  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE RESULT=pass
 3241 10:56:53.025293  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LSE RESULT=skip>
 3242 10:56:53.025847  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LSE RESULT=skip
 3244 10:56:53.124508  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LSE RESULT=skip>
 3245 10:56:53.125024  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LSE RESULT=skip
 3247 10:56:53.225062  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE2 RESULT=pass>
 3248 10:56:53.225603  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE2 RESULT=pass
 3250 10:56:53.325162  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LSE2 RESULT=skip>
 3251 10:56:53.325684  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LSE2 RESULT=skip
 3253 10:56:53.426771  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LSE2 RESULT=skip>
 3254 10:56:53.427293  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LSE2 RESULT=skip
 3256 10:56:53.526816  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE128 RESULT=pass>
 3257 10:56:53.527401  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LSE128 RESULT=pass
 3259 10:56:53.625207  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LSE128 RESULT=skip>
 3260 10:56:53.625719  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LSE128 RESULT=skip
 3262 10:56:53.723281  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LSE128 RESULT=skip>
 3263 10:56:53.723792  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LSE128 RESULT=skip
 3265 10:56:53.817724  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LUT RESULT=pass>
 3266 10:56:53.818265  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_LUT RESULT=pass
 3268 10:56:53.916153  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_LUT RESULT=skip>
 3269 10:56:53.916668  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_LUT RESULT=skip
 3271 10:56:54.012780  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_LUT RESULT=skip>
 3272 10:56:54.013302  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_LUT RESULT=skip
 3274 10:56:54.113092  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_MOPS RESULT=pass>
 3275 10:56:54.113607  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_MOPS RESULT=pass
 3277 10:56:54.208866  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_MOPS RESULT=pass>
 3278 10:56:54.209391  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_MOPS RESULT=pass
 3280 10:56:54.305173  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_MOPS RESULT=skip>
 3281 10:56:54.305689  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_MOPS RESULT=skip
 3283 10:56:54.401389  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_PMULL RESULT=pass>
 3284 10:56:54.401944  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_PMULL RESULT=pass
 3286 10:56:54.498645  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_PMULL RESULT=pass>
 3287 10:56:54.499172  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_PMULL RESULT=pass
 3289 10:56:54.594754  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_PMULL RESULT=skip>
 3290 10:56:54.595274  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_PMULL RESULT=skip
 3292 10:56:54.693448  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_POE RESULT=pass>
 3293 10:56:54.693970  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_POE RESULT=pass
 3295 10:56:54.791612  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_POE RESULT=pass>
 3296 10:56:54.792136  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_POE RESULT=pass
 3298 10:56:54.893166  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_POE RESULT=skip>
 3299 10:56:54.893687  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_POE RESULT=skip
 3301 10:56:54.993236  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_RNG RESULT=pass>
 3302 10:56:54.993754  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_RNG RESULT=pass
 3304 10:56:55.091113  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_RNG RESULT=skip>
 3305 10:56:55.091637  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_RNG RESULT=skip
 3307 10:56:55.191431  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_RNG RESULT=skip>
 3308 10:56:55.191953  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_RNG RESULT=skip
 3310 10:56:55.291760  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_RPRFM RESULT=pass>
 3311 10:56:55.292294  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_RPRFM RESULT=pass
 3313 10:56:55.385646  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_RPRFM RESULT=skip>
 3314 10:56:55.386198  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_RPRFM RESULT=skip
 3316 10:56:55.484854  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_RPRFM RESULT=skip>
 3317 10:56:55.485375  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_RPRFM RESULT=skip
 3319 10:56:55.585017  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA1 RESULT=pass>
 3320 10:56:55.585599  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA1 RESULT=pass
 3322 10:56:55.682670  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SHA1 RESULT=pass>
 3323 10:56:55.683191  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SHA1 RESULT=pass
 3325 10:56:55.780411  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SHA1 RESULT=skip>
 3326 10:56:55.780921  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SHA1 RESULT=skip
 3328 10:56:55.883253  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA2 RESULT=pass>
 3329 10:56:55.883777  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA2 RESULT=pass
 3331 10:56:55.982557  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SHA2 RESULT=pass>
 3332 10:56:55.983077  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SHA2 RESULT=pass
 3334 10:56:56.079697  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SHA2 RESULT=skip>
 3335 10:56:56.080216  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SHA2 RESULT=skip
 3337 10:56:56.183839  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA512 RESULT=pass>
 3338 10:56:56.184360  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SHA512 RESULT=pass
 3340 10:56:56.279034  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SHA512 RESULT=skip>
 3341 10:56:56.279554  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SHA512 RESULT=skip
 3343 10:56:56.376541  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SHA512 RESULT=skip>
 3344 10:56:56.377070  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SHA512 RESULT=skip
 3346 10:56:56.471999  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME RESULT=pass>
 3347 10:56:56.472537  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME RESULT=pass
 3349 10:56:56.569639  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME RESULT=pass>
 3350 10:56:56.570194  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME RESULT=pass
 3352 10:56:56.668334  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME RESULT=skip>
 3353 10:56:56.668860  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME RESULT=skip
 3355 10:56:56.773042  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME2 RESULT=pass>
 3356 10:56:56.773561  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME2 RESULT=pass
 3358 10:56:56.869147  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME2 RESULT=pass>
 3359 10:56:56.869669  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME2 RESULT=pass
 3361 10:56:56.966959  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME2 RESULT=skip>
 3362 10:56:56.967487  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME2 RESULT=skip
 3364 10:56:57.067495  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_2_1 RESULT=pass>
 3365 10:56:57.068015  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_2_1 RESULT=pass
 3367 10:56:57.167660  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_2_1 RESULT=skip>
 3368 10:56:57.168185  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_2_1 RESULT=skip
 3370 10:56:57.268662  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_2_1 RESULT=skip>
 3371 10:56:57.269190  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_2_1 RESULT=skip
 3373 10:56:57.370230  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_I16I32 RESULT=pass>
 3374 10:56:57.370801  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_I16I32 RESULT=pass
 3376 10:56:57.469381  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_I16I32 RESULT=skip>
 3377 10:56:57.469910  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_I16I32 RESULT=skip
 3379 10:56:57.571147  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_I16I32 RESULT=skip>
 3380 10:56:57.571668  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_I16I32 RESULT=skip
 3382 10:56:57.673942  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_BI32I32 RESULT=pass>
 3383 10:56:57.674554  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_BI32I32 RESULT=pass
 3385 10:56:57.772852  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_BI32I32 RESULT=skip>
 3386 10:56:57.773415  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_BI32I32 RESULT=skip
 3388 10:56:57.869046  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_BI32I32 RESULT=skip>
 3389 10:56:57.869561  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_BI32I32 RESULT=skip
 3391 10:56:57.969072  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_B16B16 RESULT=pass>
 3392 10:56:57.969611  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_B16B16 RESULT=pass
 3394 10:56:58.065050  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_B16B16 RESULT=skip>
 3395 10:56:58.065601  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_B16B16 RESULT=skip
 3397 10:56:58.158894  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_B16B16 RESULT=skip>
 3398 10:56:58.159516  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_B16B16 RESULT=skip
 3400 10:56:58.252888  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F16F16 RESULT=pass>
 3401 10:56:58.253470  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F16F16 RESULT=pass
 3403 10:56:58.349054  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_F16F16 RESULT=skip>
 3404 10:56:58.349577  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_F16F16 RESULT=skip
 3406 10:56:58.445310  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_F16F16 RESULT=skip>
 3407 10:56:58.445862  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_F16F16 RESULT=skip
 3409 10:56:58.544202  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F8F16 RESULT=pass>
 3410 10:56:58.544755  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F8F16 RESULT=pass
 3412 10:56:58.640172  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_F8F16 RESULT=skip>
 3413 10:56:58.640708  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_F8F16 RESULT=skip
 3415 10:56:58.740072  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_F8F16 RESULT=skip>
 3416 10:56:58.740586  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_F8F16 RESULT=skip
 3418 10:56:58.837497  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F8F32 RESULT=pass>
 3419 10:56:58.838060  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_F8F32 RESULT=pass
 3421 10:56:58.931727  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_F8F32 RESULT=skip>
 3422 10:56:58.932252  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_F8F32 RESULT=skip
 3424 10:56:59.031639  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_F8F32 RESULT=skip>
 3425 10:56:59.032149  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_F8F32 RESULT=skip
 3427 10:56:59.128920  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_LUTV2 RESULT=pass>
 3428 10:56:59.129448  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_LUTV2 RESULT=pass
 3430 10:56:59.226185  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_LUTV2 RESULT=skip>
 3431 10:56:59.226707  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_LUTV2 RESULT=skip
 3433 10:56:59.324698  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_LUTV2 RESULT=skip>
 3434 10:56:59.325242  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_LUTV2 RESULT=skip
 3436 10:56:59.421583  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8FMA RESULT=pass>
 3437 10:56:59.422247  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8FMA RESULT=pass
 3439 10:56:59.520068  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8FMA RESULT=skip>
 3440 10:56:59.520596  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8FMA RESULT=skip
 3442 10:56:59.619761  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8FMA RESULT=skip>
 3443 10:56:59.620298  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8FMA RESULT=skip
 3445 10:56:59.715231  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8DP2 RESULT=pass>
 3446 10:56:59.715757  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8DP2 RESULT=pass
 3448 10:56:59.811582  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8DP2 RESULT=skip>
 3449 10:56:59.812105  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8DP2 RESULT=skip
 3451 10:56:59.911707  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8DP2 RESULT=skip>
 3452 10:56:59.912228  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8DP2 RESULT=skip
 3454 10:57:00.007273  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8DP4 RESULT=pass>
 3455 10:57:00.007797  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SME_SF8DP4 RESULT=pass
 3457 10:57:00.106080  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8DP4 RESULT=skip>
 3458 10:57:00.106645  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SME_SF8DP4 RESULT=skip
 3460 10:57:00.206102  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8DP4 RESULT=skip>
 3461 10:57:00.206664  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SME_SF8DP4 RESULT=skip
 3463 10:57:00.300927  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE RESULT=pass>
 3464 10:57:00.301452  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE RESULT=pass
 3466 10:57:00.397764  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE RESULT=pass>
 3467 10:57:00.398315  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE RESULT=pass
 3469 10:57:00.495133  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE RESULT=skip>
 3470 10:57:00.495654  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE RESULT=skip
 3472 10:57:00.595241  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_2 RESULT=pass>
 3473 10:57:00.595810  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_2 RESULT=pass
 3475 10:57:00.693536  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE_2 RESULT=skip>
 3476 10:57:00.694093  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE_2 RESULT=skip
 3478 10:57:00.792659  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE_2 RESULT=skip>
 3479 10:57:00.793191  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE_2 RESULT=skip
 3481 10:57:00.889983  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_2_1 RESULT=pass>
 3482 10:57:00.890523  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_2_1 RESULT=pass
 3484 10:57:00.988786  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE_2_1 RESULT=skip>
 3485 10:57:00.989321  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE_2_1 RESULT=skip
 3487 10:57:01.085833  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE_2_1 RESULT=skip>
 3488 10:57:01.086372  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE_2_1 RESULT=skip
 3490 10:57:01.187494  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_AES RESULT=pass>
 3491 10:57:01.188030  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE_AES RESULT=pass
 3493 10:57:01.287704  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE_AES RESULT=skip>
 3494 10:57:01.288226  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE_AES RESULT=skip
 3496 10:57:01.390578  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE_AES RESULT=skip>
 3497 10:57:01.391101  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE_AES RESULT=skip
 3499 10:57:01.491332  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_B16B16 RESULT=pass>
 3500 10:57:01.491863  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_B16B16 RESULT=pass
 3502 10:57:01.593247  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_B16B16 RESULT=skip>
 3503 10:57:01.593766  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_B16B16 RESULT=skip
 3505 10:57:01.693319  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_B16B16 RESULT=skip>
 3506 10:57:01.693853  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_B16B16 RESULT=skip
 3508 10:57:01.798258  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_PMULL RESULT=pass>
 3509 10:57:01.798785  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_PMULL RESULT=pass
 3511 10:57:01.901826  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_PMULL RESULT=skip>
 3512 10:57:01.902356  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_PMULL RESULT=skip
 3514 10:57:02.001168  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_PMULL RESULT=skip>
 3515 10:57:02.001701  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_PMULL RESULT=skip
 3517 10:57:02.102274  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_BITPERM RESULT=pass>
 3518 10:57:02.102796  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_BITPERM RESULT=pass
 3520 10:57:02.197210  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_BITPERM RESULT=skip>
 3521 10:57:02.197779  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_BITPERM RESULT=skip
 3523 10:57:02.291928  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_BITPERM RESULT=skip>
 3524 10:57:02.292448  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_BITPERM RESULT=skip
 3526 10:57:02.397670  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_SHA3 RESULT=pass>
 3527 10:57:02.398218  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_SHA3 RESULT=pass
 3529 10:57:02.500688  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_SHA3 RESULT=skip>
 3530 10:57:02.501239  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_SHA3 RESULT=skip
 3532 10:57:02.603710  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_SHA3 RESULT=skip>
 3533 10:57:02.604241  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_SHA3 RESULT=skip
 3535 10:57:02.708183  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_SM4 RESULT=pass>
 3536 10:57:02.708747  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_SM4 RESULT=pass
 3538 10:57:02.810281  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_SM4 RESULT=skip>
 3539 10:57:02.810803  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_SM4 RESULT=skip
 3541 10:57:02.907794  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_SM4 RESULT=skip>
 3542 10:57:02.908311  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_SM4 RESULT=skip
 3544 10:57:03.008286  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_I8MM RESULT=pass>
 3545 10:57:03.008898  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_I8MM RESULT=pass
 3547 10:57:03.107216  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_I8MM RESULT=skip>
 3548 10:57:03.107737  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_I8MM RESULT=skip
 3550 10:57:03.206741  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_I8MM RESULT=skip>
 3551 10:57:03.207268  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_I8MM RESULT=skip
 3553 10:57:03.304347  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_F32MM RESULT=pass>
 3554 10:57:03.304875  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_F32MM RESULT=pass
 3556 10:57:03.403310  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_F32MM RESULT=skip>
 3557 10:57:03.403834  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_F32MM RESULT=skip
 3559 10:57:03.495752  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_F32MM RESULT=skip>
 3560 10:57:03.496285  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_F32MM RESULT=skip
 3562 10:57:03.600426  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_F64MM RESULT=pass>
 3563 10:57:03.601034  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_F64MM RESULT=pass
 3565 10:57:03.701061  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_F64MM RESULT=skip>
 3566 10:57:03.701583  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_F64MM RESULT=skip
 3568 10:57:03.796942  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_F64MM RESULT=skip>
 3569 10:57:03.797548  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_F64MM RESULT=skip
 3571 10:57:03.895366  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_BF16 RESULT=pass>
 3572 10:57:03.895883  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_BF16 RESULT=pass
 3574 10:57:03.999626  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_BF16 RESULT=skip>
 3575 10:57:04.000139  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_BF16 RESULT=skip
 3577 10:57:04.101220  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_BF16 RESULT=skip>
 3578 10:57:04.101739  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_BF16 RESULT=skip
 3580 10:57:04.201391  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_EBF16 RESULT=pass>
 3581 10:57:04.201933  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_SVE2_EBF16 RESULT=pass
 3583 10:57:04.304108  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_SVE2_EBF16 RESULT=skip>
 3584 10:57:04.304643  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_SVE2_EBF16 RESULT=skip
 3586 10:57:04.408346  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_EBF16 RESULT=skip>
 3587 10:57:04.408959  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_SVE2_EBF16 RESULT=skip
 3589 10:57:04.770910  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_cpuinfo_match_HBC RESULT=pass>
 3590 10:57:04.771221  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigill_HBC RESULT=pass>
 3591 10:57:04.771618  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_cpuinfo_match_HBC RESULT=pass
 3593 10:57:04.772115  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigill_HBC RESULT=pass
 3595 10:57:04.773707  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap_sigbus_HBC RESULT=skip>
 3596 10:57:04.774132  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap_sigbus_HBC RESULT=skip
 3598 10:57:04.806197  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_hwcap RESULT=pass>
 3599 10:57:04.806718  Received signal: <TESTCASE> TEST_CASE_ID=arm64_hwcap RESULT=pass
 3601 10:57:04.908259  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_read_tpidr_one RESULT=pass>
 3602 10:57:04.908882  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_read_tpidr_one RESULT=pass
 3604 10:57:05.005338  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_write_tpidr_one RESULT=pass>
 3605 10:57:05.005859  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_write_tpidr_one RESULT=pass
 3607 10:57:05.104620  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_verify_tpidr_one RESULT=pass>
 3608 10:57:05.105163  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_verify_tpidr_one RESULT=pass
 3610 10:57:05.206706  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_count_tpidrs RESULT=pass>
 3611 10:57:05.207224  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_count_tpidrs RESULT=pass
 3613 10:57:05.312349  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_tpidr2_write RESULT=pass>
 3614 10:57:05.312877  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_tpidr2_write RESULT=pass
 3616 10:57:05.413711  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_tpidr2_read RESULT=pass>
 3617 10:57:05.414276  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_tpidr2_read RESULT=pass
 3619 10:57:05.512481  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_write_tpidr_only RESULT=pass>
 3620 10:57:05.513090  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_write_tpidr_only RESULT=pass
 3622 10:57:05.612875  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_read_NT_ARM_HW_WATCH RESULT=pass>
 3623 10:57:05.613436  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_read_NT_ARM_HW_WATCH RESULT=pass
 3625 10:57:05.715136  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_NT_ARM_HW_WATCH_arch_set RESULT=pass>
 3626 10:57:05.715702  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_NT_ARM_HW_WATCH_arch_set RESULT=pass
 3628 10:57:05.818212  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_read_NT_ARM_HW_BREAK RESULT=pass>
 3629 10:57:05.818742  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_read_NT_ARM_HW_BREAK RESULT=pass
 3631 10:57:05.922267  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace_NT_ARM_HW_BREAK_arch_set RESULT=pass>
 3632 10:57:05.922846  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace_NT_ARM_HW_BREAK_arch_set RESULT=pass
 3634 10:57:06.023439  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_ptrace RESULT=pass>
 3635 10:57:06.023962  Received signal: <TESTCASE> TEST_CASE_ID=arm64_ptrace RESULT=pass
 3637 10:57:06.127063  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_syscall-abi_getpid_FPSIMD RESULT=pass>
 3638 10:57:06.127693  Received signal: <TESTCASE> TEST_CASE_ID=arm64_syscall-abi_getpid_FPSIMD RESULT=pass
 3640 10:57:06.228094  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_syscall-abi_sched_yield_FPSIMD RESULT=pass>
 3641 10:57:06.228613  Received signal: <TESTCASE> TEST_CASE_ID=arm64_syscall-abi_sched_yield_FPSIMD RESULT=pass
 3643 10:57:06.325358  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_syscall-abi RESULT=pass>
 3644 10:57:06.325854  Received signal: <TESTCASE> TEST_CASE_ID=arm64_syscall-abi RESULT=pass
 3646 10:57:06.431111  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_default_value RESULT=skip>
 3647 10:57:06.431634  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_default_value RESULT=skip
 3649 10:57:06.533024  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_write_read RESULT=skip>
 3650 10:57:06.533621  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_write_read RESULT=skip
 3652 10:57:06.631433  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_write_sleep_read RESULT=skip>
 3653 10:57:06.631960  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_write_sleep_read RESULT=skip
 3655 10:57:06.736660  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_write_fork_read RESULT=skip>
 3656 10:57:06.737185  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_write_fork_read RESULT=skip
 3658 10:57:06.836172  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2_write_clone_read RESULT=skip>
 3659 10:57:06.836696  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2_write_clone_read RESULT=skip
 3661 10:57:06.932493  <LAVA_SIGNAL_TESTCASE TEST_CASE_ID=arm64_tpidr2 RESULT=pass>
 3662 10:57:06.933044  Received signal: <TESTCASE> TEST_CASE_ID=arm64_tpidr2 RESULT=pass
 3664 10:57:06.937558  + set +x
 3665 10:57:06.937981  Received signal: <ENDRUN> 1_kselftest-arm64 715541_1.6.2.4.5
 3666 10:57:06.938179  Ending use of test pattern.
 3667 10:57:06.938341  Ending test lava.1_kselftest-arm64 (715541_1.6.2.4.5), duration 148.11
 3669 10:57:06.940965  <LAVA_SIGNAL_ENDRUN 1_kselftest-arm64 715541_1.6.2.4.5>
 3670 10:57:06.954367  <LAVA_TEST_RUNNER EXIT>
 3671 10:57:06.954951  ok: lava_test_shell seems to have completed
 3672 10:57:06.959675  arm64_btitest: pass
arm64_btitest_bti_c_func_call_using_blr: pass
arm64_btitest_bti_c_func_call_using_br_x0: pass
arm64_btitest_bti_c_func_call_using_br_x16: pass
arm64_btitest_bti_j_func_call_using_blr: pass
arm64_btitest_bti_j_func_call_using_br_x0: pass
arm64_btitest_bti_j_func_call_using_br_x16: pass
arm64_btitest_bti_jc_func_call_using_blr: pass
arm64_btitest_bti_jc_func_call_using_br_x0: pass
arm64_btitest_bti_jc_func_call_using_br_x16: pass
arm64_btitest_bti_none_func_call_using_blr: pass
arm64_btitest_bti_none_func_call_using_br_x0: pass
arm64_btitest_bti_none_func_call_using_br_x16: pass
arm64_btitest_nohint_func_call_using_blr: pass
arm64_btitest_nohint_func_call_using_br_x0: pass
arm64_btitest_nohint_func_call_using_br_x16: pass
arm64_btitest_paciasp_func_call_using_blr: pass
arm64_btitest_paciasp_func_call_using_br_x0: pass
arm64_btitest_paciasp_func_call_using_br_x16: pass
arm64_check_buffer_fill: skip
arm64_check_child_memory: skip
arm64_check_gcr_el1_cswitch: skip
arm64_check_ksm_options: skip
arm64_check_mmap_options: skip
arm64_check_prctl: pass
arm64_check_prctl_ASYNC: skip
arm64_check_prctl_NONE: pass
arm64_check_prctl_SYNC: skip
arm64_check_prctl_SYNC_ASYNC: skip
arm64_check_prctl_check_basic_read: pass
arm64_check_tags_inclusion: skip
arm64_check_user_mem: skip
arm64_fake_sigreturn_bad_magic: pass
arm64_fake_sigreturn_bad_size: pass
arm64_fake_sigreturn_bad_size_for_magic0: pass
arm64_fake_sigreturn_duplicated_fpsimd: pass
arm64_fake_sigreturn_misaligned_sp: pass
arm64_fake_sigreturn_missing_fpsimd: pass
arm64_fake_sigreturn_sme_change_vl: skip
arm64_fake_sigreturn_sve_change_vl: skip
arm64_fp-ptrace: pass
arm64_fp-ptrace_FPSIMD_write: pass
arm64_fp-ptrace_No_writes: pass
arm64_fp-stress: pass
arm64_fp-stress_FPSIMD-0-0: pass
arm64_fp-stress_FPSIMD-1-0: pass
arm64_fp-stress_FPSIMD-2-0: pass
arm64_fp-stress_FPSIMD-3-0: pass
arm64_fp-stress_KERNEL-0-0: pass
arm64_fp-stress_KERNEL-1-0: pass
arm64_fp-stress_KERNEL-2-0: pass
arm64_fp-stress_KERNEL-3-0: pass
arm64_fpmr_siginfo: pass
arm64_hwcap: pass
arm64_hwcap_cpuinfo_match_AES: pass
arm64_hwcap_cpuinfo_match_CRC32: pass
arm64_hwcap_cpuinfo_match_CSSC: pass
arm64_hwcap_cpuinfo_match_F8CVT: pass
arm64_hwcap_cpuinfo_match_F8DP2: pass
arm64_hwcap_cpuinfo_match_F8DP4: pass
arm64_hwcap_cpuinfo_match_F8E4M3: pass
arm64_hwcap_cpuinfo_match_F8E5M2: pass
arm64_hwcap_cpuinfo_match_F8FMA: pass
arm64_hwcap_cpuinfo_match_FAMINMAX: pass
arm64_hwcap_cpuinfo_match_FP: pass
arm64_hwcap_cpuinfo_match_FPMR: pass
arm64_hwcap_cpuinfo_match_HBC: pass
arm64_hwcap_cpuinfo_match_JSCVT: pass
arm64_hwcap_cpuinfo_match_LRCPC: pass
arm64_hwcap_cpuinfo_match_LRCPC2: pass
arm64_hwcap_cpuinfo_match_LRCPC3: pass
arm64_hwcap_cpuinfo_match_LSE: pass
arm64_hwcap_cpuinfo_match_LSE128: pass
arm64_hwcap_cpuinfo_match_LSE2: pass
arm64_hwcap_cpuinfo_match_LUT: pass
arm64_hwcap_cpuinfo_match_MOPS: pass
arm64_hwcap_cpuinfo_match_PMULL: pass
arm64_hwcap_cpuinfo_match_POE: pass
arm64_hwcap_cpuinfo_match_RNG: pass
arm64_hwcap_cpuinfo_match_RPRFM: pass
arm64_hwcap_cpuinfo_match_SHA1: pass
arm64_hwcap_cpuinfo_match_SHA2: pass
arm64_hwcap_cpuinfo_match_SHA512: pass
arm64_hwcap_cpuinfo_match_SME: pass
arm64_hwcap_cpuinfo_match_SME2: pass
arm64_hwcap_cpuinfo_match_SME_2_1: pass
arm64_hwcap_cpuinfo_match_SME_B16B16: pass
arm64_hwcap_cpuinfo_match_SME_BI32I32: pass
arm64_hwcap_cpuinfo_match_SME_F16F16: pass
arm64_hwcap_cpuinfo_match_SME_F8F16: pass
arm64_hwcap_cpuinfo_match_SME_F8F32: pass
arm64_hwcap_cpuinfo_match_SME_I16I32: pass
arm64_hwcap_cpuinfo_match_SME_LUTV2: pass
arm64_hwcap_cpuinfo_match_SME_SF8DP2: pass
arm64_hwcap_cpuinfo_match_SME_SF8DP4: pass
arm64_hwcap_cpuinfo_match_SME_SF8FMA: pass
arm64_hwcap_cpuinfo_match_SVE: pass
arm64_hwcap_cpuinfo_match_SVE2_B16B16: pass
arm64_hwcap_cpuinfo_match_SVE2_BF16: pass
arm64_hwcap_cpuinfo_match_SVE2_BITPERM: pass
arm64_hwcap_cpuinfo_match_SVE2_EBF16: pass
arm64_hwcap_cpuinfo_match_SVE2_F32MM: pass
arm64_hwcap_cpuinfo_match_SVE2_F64MM: pass
arm64_hwcap_cpuinfo_match_SVE2_I8MM: pass
arm64_hwcap_cpuinfo_match_SVE2_PMULL: pass
arm64_hwcap_cpuinfo_match_SVE2_SHA3: pass
arm64_hwcap_cpuinfo_match_SVE2_SM4: pass
arm64_hwcap_cpuinfo_match_SVE_2: pass
arm64_hwcap_cpuinfo_match_SVE_2_1: pass
arm64_hwcap_cpuinfo_match_SVE_AES: pass
arm64_hwcap_sigbus_AES: skip
arm64_hwcap_sigbus_CRC32: skip
arm64_hwcap_sigbus_CSSC: skip
arm64_hwcap_sigbus_F8CVT: skip
arm64_hwcap_sigbus_F8DP2: skip
arm64_hwcap_sigbus_F8DP4: skip
arm64_hwcap_sigbus_F8E4M3: skip
arm64_hwcap_sigbus_F8E5M2: skip
arm64_hwcap_sigbus_F8FMA: skip
arm64_hwcap_sigbus_FAMINMAX: skip
arm64_hwcap_sigbus_FP: skip
arm64_hwcap_sigbus_FPMR: skip
arm64_hwcap_sigbus_HBC: skip
arm64_hwcap_sigbus_JSCVT: skip
arm64_hwcap_sigbus_LRCPC: skip
arm64_hwcap_sigbus_LRCPC2: skip
arm64_hwcap_sigbus_LRCPC3: skip
arm64_hwcap_sigbus_LSE: skip
arm64_hwcap_sigbus_LSE128: skip
arm64_hwcap_sigbus_LSE2: skip
arm64_hwcap_sigbus_LUT: skip
arm64_hwcap_sigbus_MOPS: skip
arm64_hwcap_sigbus_PMULL: skip
arm64_hwcap_sigbus_POE: skip
arm64_hwcap_sigbus_RNG: skip
arm64_hwcap_sigbus_RPRFM: skip
arm64_hwcap_sigbus_SHA1: skip
arm64_hwcap_sigbus_SHA2: skip
arm64_hwcap_sigbus_SHA512: skip
arm64_hwcap_sigbus_SME: skip
arm64_hwcap_sigbus_SME2: skip
arm64_hwcap_sigbus_SME_2_1: skip
arm64_hwcap_sigbus_SME_B16B16: skip
arm64_hwcap_sigbus_SME_BI32I32: skip
arm64_hwcap_sigbus_SME_F16F16: skip
arm64_hwcap_sigbus_SME_F8F16: skip
arm64_hwcap_sigbus_SME_F8F32: skip
arm64_hwcap_sigbus_SME_I16I32: skip
arm64_hwcap_sigbus_SME_LUTV2: skip
arm64_hwcap_sigbus_SME_SF8DP2: skip
arm64_hwcap_sigbus_SME_SF8DP4: skip
arm64_hwcap_sigbus_SME_SF8FMA: skip
arm64_hwcap_sigbus_SVE: skip
arm64_hwcap_sigbus_SVE2_B16B16: skip
arm64_hwcap_sigbus_SVE2_BF16: skip
arm64_hwcap_sigbus_SVE2_BITPERM: skip
arm64_hwcap_sigbus_SVE2_EBF16: skip
arm64_hwcap_sigbus_SVE2_F32MM: skip
arm64_hwcap_sigbus_SVE2_F64MM: skip
arm64_hwcap_sigbus_SVE2_I8MM: skip
arm64_hwcap_sigbus_SVE2_PMULL: skip
arm64_hwcap_sigbus_SVE2_SHA3: skip
arm64_hwcap_sigbus_SVE2_SM4: skip
arm64_hwcap_sigbus_SVE_2: skip
arm64_hwcap_sigbus_SVE_2_1: skip
arm64_hwcap_sigbus_SVE_AES: skip
arm64_hwcap_sigill_AES: pass
arm64_hwcap_sigill_CRC32: pass
arm64_hwcap_sigill_CSSC: skip
arm64_hwcap_sigill_F8CVT: skip
arm64_hwcap_sigill_F8DP2: skip
arm64_hwcap_sigill_F8DP4: skip
arm64_hwcap_sigill_F8E4M3: skip
arm64_hwcap_sigill_F8E5M2: skip
arm64_hwcap_sigill_F8FMA: skip
arm64_hwcap_sigill_FAMINMAX: skip
arm64_hwcap_sigill_FP: pass
arm64_hwcap_sigill_FPMR: pass
arm64_hwcap_sigill_HBC: pass
arm64_hwcap_sigill_JSCVT: skip
arm64_hwcap_sigill_LRCPC: skip
arm64_hwcap_sigill_LRCPC2: skip
arm64_hwcap_sigill_LRCPC3: skip
arm64_hwcap_sigill_LSE: skip
arm64_hwcap_sigill_LSE128: skip
arm64_hwcap_sigill_LSE2: skip
arm64_hwcap_sigill_LUT: skip
arm64_hwcap_sigill_MOPS: pass
arm64_hwcap_sigill_PMULL: pass
arm64_hwcap_sigill_POE: pass
arm64_hwcap_sigill_RNG: skip
arm64_hwcap_sigill_RPRFM: skip
arm64_hwcap_sigill_SHA1: pass
arm64_hwcap_sigill_SHA2: pass
arm64_hwcap_sigill_SHA512: skip
arm64_hwcap_sigill_SME: pass
arm64_hwcap_sigill_SME2: pass
arm64_hwcap_sigill_SME_2_1: skip
arm64_hwcap_sigill_SME_B16B16: skip
arm64_hwcap_sigill_SME_BI32I32: skip
arm64_hwcap_sigill_SME_F16F16: skip
arm64_hwcap_sigill_SME_F8F16: skip
arm64_hwcap_sigill_SME_F8F32: skip
arm64_hwcap_sigill_SME_I16I32: skip
arm64_hwcap_sigill_SME_LUTV2: skip
arm64_hwcap_sigill_SME_SF8DP2: skip
arm64_hwcap_sigill_SME_SF8DP4: skip
arm64_hwcap_sigill_SME_SF8FMA: skip
arm64_hwcap_sigill_SVE: pass
arm64_hwcap_sigill_SVE2_B16B16: skip
arm64_hwcap_sigill_SVE2_BF16: skip
arm64_hwcap_sigill_SVE2_BITPERM: skip
arm64_hwcap_sigill_SVE2_EBF16: skip
arm64_hwcap_sigill_SVE2_F32MM: skip
arm64_hwcap_sigill_SVE2_F64MM: skip
arm64_hwcap_sigill_SVE2_I8MM: skip
arm64_hwcap_sigill_SVE2_PMULL: skip
arm64_hwcap_sigill_SVE2_SHA3: skip
arm64_hwcap_sigill_SVE2_SM4: skip
arm64_hwcap_sigill_SVE_2: skip
arm64_hwcap_sigill_SVE_2_1: skip
arm64_hwcap_sigill_SVE_AES: skip
arm64_mangle_pstate_invalid_compat_toggle: pass
arm64_mangle_pstate_invalid_daif_bits: pass
arm64_mangle_pstate_invalid_mode_el1h: pass
arm64_mangle_pstate_invalid_mode_el1t: pass
arm64_mangle_pstate_invalid_mode_el2h: pass
arm64_mangle_pstate_invalid_mode_el2t: pass
arm64_mangle_pstate_invalid_mode_el3h: pass
arm64_mangle_pstate_invalid_mode_el3t: pass
arm64_nobtitest: pass
arm64_nobtitest_bti_c_func_call_using_blr: pass
arm64_nobtitest_bti_c_func_call_using_br_x0: pass
arm64_nobtitest_bti_c_func_call_using_br_x16: pass
arm64_nobtitest_bti_j_func_call_using_blr: pass
arm64_nobtitest_bti_j_func_call_using_br_x0: pass
arm64_nobtitest_bti_j_func_call_using_br_x16: pass
arm64_nobtitest_bti_jc_func_call_using_blr: pass
arm64_nobtitest_bti_jc_func_call_using_br_x0: pass
arm64_nobtitest_bti_jc_func_call_using_br_x16: pass
arm64_nobtitest_bti_none_func_call_using_blr: pass
arm64_nobtitest_bti_none_func_call_using_br_x0: pass
arm64_nobtitest_bti_none_func_call_using_br_x16: pass
arm64_nobtitest_nohint_func_call_using_blr: pass
arm64_nobtitest_nohint_func_call_using_br_x0: pass
arm64_nobtitest_nohint_func_call_using_br_x16: pass
arm64_nobtitest_paciasp_func_call_using_blr: pass
arm64_nobtitest_paciasp_func_call_using_br_x0: pass
arm64_nobtitest_paciasp_func_call_using_br_x16: pass
arm64_pac: pass
arm64_pac_global_context_switch_keep_keys_PAUTH_not_enabled: skip
arm64_pac_global_context_switch_keep_keys_generic_Generic_PAUTH_not_enabled: skip
arm64_pac_global_corrupt_pac_PAUTH_not_enabled: skip
arm64_pac_global_exec_changed_keys_PAUTH_not_enabled: skip
arm64_pac_global_pac_instructions_not_nop_PAUTH_not_enabled: skip
arm64_pac_global_pac_instructions_not_nop_generic_Generic_PAUTH_not_enabled: skip
arm64_pac_global_single_thread_different_keys_PAUTH_not_enabled: skip
arm64_poe_siginfo: pass
arm64_ptrace: pass
arm64_ptrace_NT_ARM_HW_BREAK_arch_set: pass
arm64_ptrace_NT_ARM_HW_WATCH_arch_set: pass
arm64_ptrace_count_tpidrs: pass
arm64_ptrace_read_NT_ARM_HW_BREAK: pass
arm64_ptrace_read_NT_ARM_HW_WATCH: pass
arm64_ptrace_read_tpidr_one: pass
arm64_ptrace_tpidr2_read: pass
arm64_ptrace_tpidr2_write: pass
arm64_ptrace_verify_tpidr_one: pass
arm64_ptrace_write_tpidr_one: pass
arm64_ptrace_write_tpidr_only: pass
arm64_sme_trap_no_sm: skip
arm64_sme_trap_non_streaming: skip
arm64_sme_trap_za: pass
arm64_sme_vl: skip
arm64_ssve_regs: skip
arm64_ssve_za_regs: skip
arm64_sve-probe-vls: skip
arm64_sve-probe-vls_SVE_not_available: skip
arm64_sve-ptrace: skip
arm64_sve-ptrace_SVE_not_available: skip
arm64_sve_regs: skip
arm64_sve_vl: skip
arm64_syscall-abi: pass
arm64_syscall-abi_getpid_FPSIMD: pass
arm64_syscall-abi_sched_yield_FPSIMD: pass
arm64_tags_test: pass
arm64_tags_test_Syscall_successful_with_tagged_address: pass
arm64_tpidr2: pass
arm64_tpidr2_default_value: skip
arm64_tpidr2_restore: skip
arm64_tpidr2_siginfo: pass
arm64_tpidr2_write_clone_read: skip
arm64_tpidr2_write_fork_read: skip
arm64_tpidr2_write_read: skip
arm64_tpidr2_write_sleep_read: skip
arm64_vec-syscfg: pass
arm64_vec-syscfg_SME_not_supported: skip
arm64_vec-syscfg_SME_not_supported_dup10: skip
arm64_vec-syscfg_SME_not_supported_dup2: skip
arm64_vec-syscfg_SME_not_supported_dup3: skip
arm64_vec-syscfg_SME_not_supported_dup4: skip
arm64_vec-syscfg_SME_not_supported_dup5: skip
arm64_vec-syscfg_SME_not_supported_dup6: skip
arm64_vec-syscfg_SME_not_supported_dup7: skip
arm64_vec-syscfg_SME_not_supported_dup8: skip
arm64_vec-syscfg_SME_not_supported_dup9: skip
arm64_vec-syscfg_SVE_not_supported: skip
arm64_vec-syscfg_SVE_not_supported_dup10: skip
arm64_vec-syscfg_SVE_not_supported_dup2: skip
arm64_vec-syscfg_SVE_not_supported_dup3: skip
arm64_vec-syscfg_SVE_not_supported_dup4: skip
arm64_vec-syscfg_SVE_not_supported_dup5: skip
arm64_vec-syscfg_SVE_not_supported_dup6: skip
arm64_vec-syscfg_SVE_not_supported_dup7: skip
arm64_vec-syscfg_SVE_not_supported_dup8: skip
arm64_vec-syscfg_SVE_not_supported_dup9: skip
arm64_vec-syscfg_change_sve_with_za: skip
arm64_za-fork: pass
arm64_za-fork_fork_test: skip
arm64_za-ptrace: skip
arm64_za-ptrace_SME_not_available: skip
arm64_za_no_regs: skip
arm64_za_regs: skip
arm64_zt_no_regs: skip
arm64_zt_regs: skip
shardfile-arm64: pass

 3673 10:57:06.960192  end: 3.1 lava-test-shell (duration 00:02:29) [common]
 3674 10:57:06.960409  end: 3 lava-test-retry (duration 00:02:29) [common]
 3675 10:57:06.960625  start: 4 finalize (timeout 00:04:47) [common]
 3676 10:57:06.960847  start: 4.1 power-off (timeout 00:00:30) [common]
 3677 10:57:06.961202  Calling: 'curl' 'http://conserv2.mayfield.sirena.org.uk:16421/power/control/off?hostname=cambrionix&port=potato-03'
 3678 10:57:06.978232  >> OK - accepted request

 3679 10:57:06.979703  Returned 0 in 0 seconds
 3680 10:57:07.080609  end: 4.1 power-off (duration 00:00:00) [common]
 3682 10:57:07.081309  start: 4.2 read-feedback (timeout 00:04:47) [common]
 3683 10:57:07.081768  Listened to connection for namespace 'common' for up to 1s
 3684 10:57:08.082086  Finalising connection for namespace 'common'
 3685 10:57:08.082534  Disconnecting from shell: Finalise
 3686 10:57:08.082745  / # 
 3687 10:57:08.183598  end: 4.2 read-feedback (duration 00:00:01) [common]
 3688 10:57:08.184055  end: 4 finalize (duration 00:00:01) [common]
 3689 10:57:08.184344  Cleaning after the job
 3690 10:57:08.184603  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/ramdisk
 3691 10:57:08.192295  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/kernel
 3692 10:57:08.218898  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/dtb
 3693 10:57:08.219416  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/nfsrootfs
 3694 10:57:08.297973  Cleaning up download directory: /var/lib/lava/dispatcher/tmp/715541/tftp-deploy-3ocarnyo/modules
 3695 10:57:08.306270  Override tmp directory removed at /var/lib/lava/dispatcher/tmp/715541
 3696 10:57:08.962412  Root tmp directory removed at /var/lib/lava/dispatcher/tmp/715541
 3697 10:57:08.962644  Job finished correctly